ዝርዝር ሁኔታ:

ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC: 8 ደረጃዎች
ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC: 8 ደረጃዎች

ቪዲዮ: ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC: 8 ደረጃዎች

ቪዲዮ: ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC: 8 ደረጃዎች
ቪዲዮ: ESP32 - Vibration Data Logger (Fourier Transform) 2024, ሀምሌ
Anonim
ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC
ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC
ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC
ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC
ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC
ESP32 ADXL345 DATALOGGER በ GPS_EXT RAM_EXT_RTC

እዚያ ላሉት ከዌሞስ 32 LOLIN ቦርድ ጋር እየተጫወቱ ላሉት እስካሁን አንዳንድ የእኔን ግኝቶች መመዝገብ እጀምራለሁ ብዬ አሰብኩ።

የአሁኑ ፕሮጀክት ወደ ADXL345 የፍጥነት መለኪያ መገናኛው ነው እና ፎቶው ከላይ እንደሚታየው ከሎሊን ጋር በተሳካ ሁኔታ አገናኘሁት እና ኤዲኤክስኤልን እንደ አስደንጋጭ ዳሳሽ አዋቅሯል።

በኤዲኤክስኤል ላይ ያሉት መዝገቦች እንደ አባሪ ፒዲኤፍ ተዋቅረዋል እና አንድ ድንጋጤ ከተገኘ በኋላ ኮዱን በተከታታይ ወደብ ላይ ለመትፋት በኮዱ ውስጥ አዋቅሬአለሁ።

እንዲሁም የእንቅስቃሴ -አልባነት መዝገቡን እንደ ማቋረጫ አድርጌያለሁ እና የትኛው ማቋረጫ እንደተነሳ ከተመረመረ በኋላ ተመሳሳይ መረጃን ተፉ።

እኔ በ LOLIN ላይ የ I2c ወደብ እጠቀማለሁ እና በማረም ላይ እያለ ውቅረቱን ለመፈተሽ በ ADXL ላይ መዝገቦችን የመትፋት ልማድ እንዳለኝ ታያለህ። ምልክት ማድረጊያ ቤተመጽሐፉን በመጠቀም ይህ ተግባር በሰዓት ቆጣሪ ተግባር ውስጥ እየሰራ ነው። ይህ አንድ ነገር እዚያ ውስጥ በሚጽፉበት ጊዜ ምስጢሩ አንዳንድ እንግዳ ነገሮችን እንዲሠራ የሚያደርግ ከሆነ ለማረም እና መዝገቡን ለመፈተሽ ጠቃሚ ተግባር ነው።

እኔ በ LOLIN ውስጥ የ 2 ን የውዳሴ ውሂብ መደበኛ አድርጌዋለሁ እና ወደ ኤክሴል እንዲገባ ቅርጸት አድርጌዋለሁ።

እኔ ባስመጣሁት የተወሰነ መረጃ ፒዲኤፍ የተያያዘውን ይመልከቱ እና በኤፍኤክስኤል ላይ አንዳንድ መታ ማድረጊያዎችን በማሳየት በኤፍኤክስኤል ላይ የ FIFO ሁነታን በመጠቀም በመቀስቀሻ መዝገብ ውስጥ በመስተጓጎል የተነሳ ነው።

የ FIFO ሞድ ማይክሮን የማያስረው ጠቃሚ ባህርይ ነው እና 32 ናሙናዎችን በማነቃቂያ ላይ ያከማቻል። እንደገና የውሂብ ጎተራዎቻችንን ይመልከቱ እና እኛ በመሬት ዜሮ የምንጀምርበትን እና የመጨረሻው ናሙና በ 9.8ms ወይም እዚያ ላይ ነው። በግራፉ ላይ ያለው የኤክስ መስመር በማይክሮ ሰከንድ ውስጥ ያለውን ጊዜ ከግራ ወደ ቀኝ ሲጨምር ያሳያል።

ማስታወሻ እኔ ከ 9800 ማይክሮ ሰከንዶች የጊዜን ለማሳየት የመጨረሻውን ግራፍ ካስተካከልኩ በኋላ የመጀመሪያው የ FIF ፖፕ ቀሪው በዕድሜ የቀደመ ነው። እይታ ከቀኝ ወደ ግራ መሆን አለበት።

ለኤዲኤክስኤል ቦርድ ሶስቱን ሽቦዎች ልብ ይበሉ። SDA/SCL እና INT ከ INT 1. እንደገና የመመዝገቢያ ቅንብሮችን ከተመለከቱ እና የውሂብ ወረቀቱን ከተሻገሩ ትርጉም ይኖረዋል።

የውሂብ ናሙና በ 3200 ናሙናዎች ሙሉ በሙሉ ያጋደለ ሲሆን ይህም በናሙናዎች መካከል እና 425 ናሙናዎችን በቅድሚያ ለመያዝ 3125 የአጠቃቀም ጊዜዎችን ይሰጣል። በኤክሴል ውስጥ ከመሣሪያው የመጣው የውሂብ ፒዲኤፍ እና የመያዝ መስኮቱን ማንቀሳቀሱን የሚያሳዩኝ እያንዳንዱን ግራፎች ይመልከቱ።

እኔ የማዋቀሪያውን የተወሰነ ኮድ አወጣለሁ እና ማንም ፍላጎት ካለው አቋርጣለሁ።

ለ I2C የሽቦ ቤተመጽሐፉን እጠቀማለሁ እና በዚያ ዙሪያ አንዳንድ ተግባሮችን ጽፌያለሁ።

ከ SDA/SDL ጋር ያገናኘሁትን እና Sigrok ን በመጠቀም I2C አውቶቡስን በእውነተኛ ጊዜ መፍታት የምችለውን ትንሽ የውሂብ አነፍናፊን ያስተውሉ።

ቀጣዩ ደረጃ ቀደም ሲል እንደሚሰራ አረጋግጫለሁ ወደ SAN ዲስክ ማስቀመጥ ነው። ያ አንዴ ከተጠናቀቀ ወደ ገመድ አልባው እገናኛለሁ እና ወደ ድር ጣቢያ እሰቅላለሁ።

ፕሮጀክቱ እየሰፋ ሲሄድ በዚህ ላይ እጨምራለሁ።

እግር ኳስ -

እዚያ ላሉት ታዛቢዎች የኤስፕስ ቺፕ እና በፒሲቢው ላይ ዝላይን የሚጠብቅ አንድ ትልቅ ጎድጓዳ ሳህን ያስተውላሉ። ይህ የሆነው ነዋሪው Cocker Spaniel ፖስታውን በመረመረ እና እሱን እንዳገኝ ከመፍቀዱ በፊት ሰሌዳውን ለማኘክ በመወሰኑ ነው። እሷ ኤስፕሬሲቭ ያልሆነ አድናቂ ናት ብዬ አስባለሁ።

በእርግጥ እንደ ሁሌም ሁል ጊዜ ለጥያቄዎች ዝግጁ ነኝ ስለዚህ እባክዎን ይጠይቁ።

ደረጃ 1 ፦ ፊርማ እና ማሳወቂያ

SIGROK እና PULSEVIEW
SIGROK እና PULSEVIEW
SIGROK እና PULSEVIEW
SIGROK እና PULSEVIEW

ስለ pulseview እና sigrok በፍጥነት መጥቀስ ብቻ።

ይህ ከተጣራ ነፃ ሶፍትዌር ነው እና 8 አመክንዮ ግብዓቶች ያሉት ትንሽ የበይነገጽ ሰሌዳ ከ ebay et al ርካሽ ነው። ኤዲኤክስኤል በሚሠራበት ጊዜ ከአውቶቡሱ ላይ የያዝኳቸውን ሁለት ምስሎች ታስተውላለህ እና እንደ እሱ እንደገና ለማረም በጣም ጠቃሚ ነው። ለ I2C አብሮ የተሰራ ዲኮደር አለው።

የምልክት ደረጃዎችን ለመፈተሽ አንድ ወሰን በጣም ጥሩ ነው ፣ ግን የ I2c የእጅ ዲኮዲንግ በጣም አድካሚ ነው ፣ ምንም እንኳን እኔ ከዚህ በፊት አንድ ዙር አዘጋጅቼ እጅን አርሜያለሁ። እኔ በብዙ የፎቶ ፕሮጄክቶች ላይ ባደረግሁት በቴህ ወደብ ደረጃ ላይ በጥቂቱ የመደነቅ አድናቆት ሊኖርዎት ይገባል ፣ ግን ጊዜ የሚወስድ እና ለስህተቶች የተጋለጠ ነው… በተለይ በሌሊት !!

ይህንን መተግበሪያ ለጻፉት ወንዶች አመሰግናለሁ። አምላክ በ i2c ውስጥ ላሉት ፕሮጀክቶች ይልካል ፣

ከኤዲኤክስኤል የተቋረጠውን መስመር የሚከታተል የ D4 መስመርን ልብ ይበሉ።

ደረጃ 2 ወደ አስደንጋጭ ዳሳሽ ማከል

ወደ አስደንጋጭ ዳሳሽ ማከል
ወደ አስደንጋጭ ዳሳሽ ማከል
ወደ አስደንጋጭ ዳሳሽ ማከል
ወደ አስደንጋጭ ዳሳሽ ማከል
ወደ አስደንጋጭ ዳሳሽ ማከል
ወደ አስደንጋጭ ዳሳሽ ማከል
ወደ አስደንጋጭ ዳሳሽ ማከል
ወደ አስደንጋጭ ዳሳሽ ማከል

እሺ እዚህ ለጽንሰ -ሀሳብ ማረጋገጫ አንዳንድ ተጓዳኞችን ወደ አስደንጋጭ ዳሳሽ ጨምሬአለሁ።

የአይጦች ጎጆ አሁን ይቅርታ ከሠራ በኋላ ሁሉንም አካላት የሚያገናኝ እና ሁሉንም ወደ ቆንጆ ሳጥን ውስጥ የሚያስገባውን ፒሲቢ ዲዛይን አደርጋለሁ። ከ SD ካርድ በስተቀር ሁሉም የተያያዙት ነገሮች በ spi አውቶቡስ ላይ ባለው i2c ላይ እየሠሩ ናቸው።

ለማያያዝ በግራ በኩል የ WIP (ጂፒኤስ) ሞዱል ነው ግን በዚህ ሳምንት መጨረሻ መፍትሄ እንደሚኖር ተስፋ አደርጋለሁ።

ስለዚህ የአሁኑ ፕሮጀክት የሚከተሉትን ያጠቃልላል

ESP32 LOLIN ቦርድ ከገመድ አልባ ጋር።

ፒሲኤፍ የእውነተኛ ሰዓት ሰዓት። የአሁኑን ቀን እና ሰዓት ይከታተላል። ቀደም ሲል ባስቀመጥኩት አሮጌ የፕሮጀክት ቦርድ ላይ ሻካራ ሸጥቻለሁ።

ውጫዊ ብልጭታ። ከሌሎች ነገሮች መካከል ለ Accelerometer የማዋቀሪያ ውሂብ ይይዛል። አቅም 132 ኪ እና ምናሌዎችን ለማመቻቸት አንዳንድ የድር መረጃዎችን በላዩ ላይ ሊጥል ይችላል።

የፋይል የፍጥነት መለኪያ ውሂብ እና ምዝግብ ማስታወሻዎችን ለማከማቸት የ SPI ኤስዲ ካርድ። 8 ጊባ ግን ሊሰፋ ይችላል።

ምናሌዎችን እና ሌሎች ጥቂት ነገሮችን ለማሳየት OLED ማሳያ።

[በመጨረሻ] ምን እንደሚያደርግ እነሆ

ከበስተጀርባ የሚበልጡ ድንጋጤዎችን እና እንቅስቃሴን ይከታተሉ።

ከቦርድ ሰዓት ጀምሮ ቀን እና ቀን አስደንጋጭ ሁኔታዎችን ወደ ኤስዲ ካርድ ይመዝገቡ።

የሚገኝ ከሆነ ቦታውን ከጂፒኤስ ወደ ኤስዲ ካርድ ያትሙት

የመዳረሻ ነጥብን በመጠቀም ውሂቡን ለመተንተን መረጃውን ወደ የድር አገልጋይ ይስቀሉ… ይህ ተንቀሳቃሽ ስልክ ሊሆን ይችላል።

| የመዳረሻ ነጥቦችን መቃኘት የድር አገልጋዮችን ለትዕዛዞች ማስተናገድ እና እንደ ደንበኛ ከደመና ድር አገልጋይ ጋር ማገናኘት የ LOLIN32 ችሎታ ነው። ሁልጊዜ የ sd ካርዱን ነቅለው ያንን መስቀል ይችላሉ!

አሁንም ብዙ መደረግ አለበት ግን ይቀጥላል።

ደረጃ 3 ADXL አድራሻ

ADXL አድራሻ
ADXL አድራሻ

አሁን አንድ አስቂኝ ነገር እዚህ አለ። እኔ ADXL 345 ቺፕን እንደ ትንሽ ሰሌዳ ገዛሁ ምክንያቱም ቺፕን በራሱ ከማምረት ርካሽ ነበር….. ያ እንዴት ይሠራል? የሆነ ሆኖ ከዚያ በኋላ ወደ i2c አውቶቡስ ስለማገናኘት እና በ 0x53 ላይ ውጤታማ በሆነ አድራሻ ከሚጀምሩት አድራሻዎች ጋር ከኤፕሮም ጋር ግጭት አጋጥሞኝ አገኘሁ።

ስለዚህ ቡምፉን በማንበብ የ SDO/ALT አድራሻውን ከፍ አድርገው ከወሰዱ ወደ 1 ዲ ማስገደድ ይችላሉ።

እርስዎ ከፍ አድርገው ሊጎትቱት የሚችሉት የ SDO ፒን ቢኖርም የእኔ ትንሽ ሰሌዳ በ ADXL ፒን 12 ላይ ለመሬት ተዳክሟል። የአሁኑ ውስን የኃይል አቅርቦት ሳይኖር ይህንን በቤት ውስጥ አይሞክሩ።. ይህ ይሠራል እና ከፕሮግራሙ ግጭት ያስወጣዎታል። እንደ እድል ሆኖ በቺፕ ስር አጭሩን አላደረጉም አለበለዚያ እኔ ተኝቼ ነበር እና ቺ chipን ከቦርዱ ማውጣት ነበረበት። ሁለት ገጾች 64 ገጽ እንደ አድራሻ 0x52 እና 53. አንዴ ይህን ካደረግሁ ችግሬ ተፈታ።

ድህረ -ገጹን እንደገና በማንበብ እሱ ወደ 0x53 የተቀመጠ ነው ይላል ፣ ግን በትንሽ ህትመት ውስጥ ነው ስለዚህ ተጠንቀቁ!

ደረጃ 4: መርሃግብራዊ ፒሲቢ ህትመቶች

የወረዳውን እና የአካል ክፍሎችን በፍጥነት መሮጥ እዚህ አለ። ይህ በጣም የእኔ ንድፍ እና አሁንም WIP ነው [ሥራ በሂደት ላይ] ንድፈ -ሐሳቡ የተነደፈ እና ከዚያ ወደ ትራኮች ወደ የሥነ ጥበብ ሥራ ተተርጉሟል። እኔ እንደተጠበቀው ሁሉንም የአካል ክፍሎች ተግባር አረጋግጫለሁ። የእኔ አይጦች ጎጆ ቀርበው አሁን በትንሽ ሳጥን ውስጥ በሚገጣጠም ሰሌዳ ላይ ተሰብስቤአለሁ [ለመከተል ዝርዝር]

ወደ shocker.pdf በመጥቀስ።

ቦርዱ 8 ዋና ዋና ክፍሎች አሉት

  1. የ SD ካርድ ማከማቻ
  2. ADXL ACCELEROMETER
  3. ኦልድ ያሳዩ
  4. 3.3 V REG
  5. GPS1 ሞዱል
  6. EEPROM
  7. ሰዓት ቆጣሪ RTC
  8. ESP32 LOLIN CHIP ከ RF ገመድ አልባ በይነገጽ ጋር

ሁሉም ነገር በአክስሌሮሜትር ላይ የተመሠረተ ነው።

ኤዲኤክስኤል በ INT1 ላይ አንጎለ ኮምፒውተርን ለማቋረጥ የተዋቀረ ሲሆን ይህም በአቀነባባሪው ላይ 14 ላይ እንዲሰካ ይደረጋል። ማይክሮ ውስጥ ያለው ኮድ ይህንን ማቋረጫ ለመጥለፍ እና በዋናው የዕለት ተዕለት እንቅስቃሴ ላይ የሚሠራ ባንዲራ ለማዘጋጀት ተዘጋጅቷል። ኮዱን እጨምራለሁ። የተቋረጠውን የዕለት ተዕለት እንቅስቃሴ እና የመደወያ ተግባሩን መመዝገብ የሚገልጽ።

ኤዲኤክስኤል እንደ አስደንጋጭ ወይም እንቅስቃሴ -አልባነት እና ጥቂት ሌሎች ባሉ ግዛቶች ላይ ማቋረጫዎችን ይደግፋል። እርስዎ በሚሞክሩት ላይ በመመስረት የጌጥዎን የሚስቁትን መደበቅ ይችላሉ። ADXL 32 ናሙናዎችን እንዲያከማች በ FIFO ሁኔታ ውስጥ ይሠራል አስደንጋጭ ክስተትን እንደ XYZ ለመያዝ [96 እሴቶች]

ማሳያው ADXL RTC እና EEPROM ሁሉም ከ I2C ይነዳሉ። ኤስዲ ካርዱ ከ SPI I/O ጋር ተገናኝቷል እና የጂፒኤስ ሞጁል በ X12 X11 ምልክት በተደረገው LOLIN ላይ ከተከታታይ ወደቦች ጋር ተገናኝቷል።

ቅደም ተከተሉ እንደሚከተለው ነው 232 ውሂቡን ከጂፒኤስ አሃድ እና ማጣሪያ ያዘውትሩ። ትክክለኛ የጂፒኤስ ጊዜ ሲኖርዎት RTC ን በተከታታይ ክፍተቶች ያዘምኑ። የመደብር ተለዋዋጮችን በ SD ካርድ እንደ ጊዜ/Lat_Lng/Speed/Altitude/Store ADXL ምዝገባዎች ውስጥ ያቋርጡ። ጥሬ ቅርፀት 2 አድናቆት እና የ 2 ያልሆነ ማሟያ። ሁሉም መረጃዎች በኮማ ተወስነዋል።

እንዲሁም ከፒን 13 ጋር የተገናኘ የመልሶ ማስጀመሪያ ፒን እንዳለ ያስተውላሉ። ይህ ፒን በ EEPROM ውስጥ የተከማቸ የመዳረሻ ነጥብ ለማቀናበር ከአዋቃሪው ጋር እንዲገናኙ የሚያስችልዎ በድረ -ገጽ ውስጥ አብሮገነብ ይጀምራል። በዳግም ማስነሻ ላይ አንጎለ ኮምፒዩተሩ ከመዳረሻ ነጥብ ጋር ይገናኛል እና ፋይሎችን ከ SD ካርድ ለመስቀል ወደ በይነመረብ ይደርሳል። ምንም ውሂብ ከሌለ እና የግንኙነት ነጥብ ከሌለ አሃዱ የውሂብ ምዝገባ ሂደቱን ወደ ኤስዲ ካርድ በመጠቀም እርስዎ ሊጠይቋቸው የሚችሉት ፕሮግራሙ [ShockerView.exe] [WIP] በአገልጋዩ ላይ ውሂቡ በ SQL የመረጃ ቋት ውስጥ ተከማችቶ [WIP] ይታያል

የ PCB ህትመቶች ከላይ እና ከታች ተያይዘዋል።

ደረጃ 5 - የስነጥበብ ሥራ Etching Prototype

የጥበብ ሥራ Etching Prototype
የጥበብ ሥራ Etching Prototype
የጥበብ ሥራ Etching Prototype
የጥበብ ሥራ Etching Prototype
የጥበብ ሥራ Etching Prototype
የጥበብ ሥራ Etching Prototype

የእኔን የፕሮቶታይፕ ሰሌዳዎች እንዴት እንደምሠራ እነሆ

በአንዳንድ ከባድ የመከታተያ ወረቀት ላይ የጥበብ ሥራውን ያትሙ። እኔ 63 ጂ.ኤስ.ኤም.ኤን ከሸማቾች ፣ ርካሽ እና ደስተኛ እጠቀማለሁ።አታሚው እዚህ ቁልፍ ነው። በተቻለ መጠን ግልጽ እና በተቻለ መጠን ንፁህ ይፈልጋሉ። የሌዘር አታሚዬ አሁን እየበራ ነው ግን እስከ 10 ድረስ ለማተም ጥሩ ነው ፣ ከዚያ የመከታተያ ወረቀቱ እህል መንገድ ላይ መጀመሩ ሲጀምር አንዳንድ ልዩ ባለሙያዎችን ማርሽ ያስፈልግዎታል። የልዩ ባለሙያ ወረቀት መግዛት ይችላሉ ፣ ግን ሄይ ለኔ መውደዶች በጣም ጥሩ ነው። በማንኛውም መንገድ እኔ ለትንሽ ኦሌ በጣም ውድ ስለሚሆን ዲዛይኖቼን በየጊዜው እያጣራሁ ነው። ትክክለኛ ሰሌዳ ከፈለጉ ታዲያ እንዲያደርጉት ባለሙያዎቹን ይጠይቁ።

እኔ በመደበኛነት ሰሌዳዎቼን በክፍል ውስጥ እቀርባለሁ እና ከዚያ የበይነመረብ ኩባንያ ለማምረት ከጀርበሮች ጋር የመጨረሻውን እፈጥራለሁ። አምሳያው እውነተኛ ገንዘብ ከመፈጸሙ በፊት እነዚያን ስህተቶች እና ግድፈቶች ሁሉ ይለያል።

ምስሎቹን በሁለት የተለያዩ የ A4 ሉሆች ወረቀቶች ላይ ካተሙ በኋላ ሁለቱም እንዲደራረቡ እና በአቀማመጥ እንዲሸጡአቸው ይቁረጡ። በድርብዎ መካከል ለመጨፍለቅ እና የታተመው ጎን በፒሲቢው ላይ ለመገጣጠም ባለ ሁለት ጎን ሰሌዳዎ በቂ ቦታ ይተውት። ሰሌዳዎቹን ወደ ውስጥ እንዲያንሸራትቱ እና ለጊዜው እንዲሸጡ ለማስቻል ሁለት መሰንጠቂያዎችን ያድርጉ። እርስዎ ጥንቃቄ ካደረጉ የመከታተያ ምስሉን ሳያጠፉ እንዲፈቱ ለማስቻል የሴሎታውን መደራረብ ይችላሉ።

በአልትራቫዮሌት ሳጥንዎ ውስጥ ሰሌዳውን በአንድ ጎን ወደታች ያኑሩ። NB እኔ አራት አዲስ የ 13 ዋ UV መብራቶችን እና አንዳንድ አሮጌ መቆጣጠሪያ መሳሪያዎችን በመጠቀም የእኔን ሠራሁ እና ግልፅ የመስታወት ፓነል ያለው ሳጥን ሠራሁ። ክፍተት መሞከሪያ ነበር። መከለያው ወደታች በመቆራረጥ እና አረፋውን በቦርዱ ጀርባ ላይ በመጭመቅ ጭምብሉን በመስታወቱ ላይ ያስገድደዋል። ይህንን ማድረግ ካልቻሉ ብርሃኑ የመቁረጫውን የመቋቋም አቅም ያቋርጣል። በአንድ ጎን ለ 1 ደቂቃ 40 ሰከንዶች ሰሌዳውን ያብሩ እና ያጋልጡ። የእጅ ሰዓትዎን ይጠቀሙ። በእውነቱ ይህንን በላዩ ላይ በሰዓት ቆጣሪ ማስተካከል አለብኝ… ኦህ ሌላ ፕሮጀክት የለም… ምናልባት አንድ እገዛለሁ… እርስዎ እንደማያውቁ ያውቃሉ! ከሜጋ ኤሌክትሮኒክስ የምገዛቸው ሰሌዳዎች ኢትች መቋቋም ያላቸው ርካሽ የፕሮቶታይፕ ሰሌዳዎች ናቸው። የመቁረጫ መከላከያው አንዳንድ ጊዜ ትንሽ ጠባብ ነው ግን እኔ ለአራት ዓመታት የያዝኳቸው አንዳንድ ሰሌዳዎች አሉኝ እና አሁንም ለፕሮቶታይፕ ጥሩ ቦርዶችን ያመርታሉ !!

ሰሌዳውን ሲያዞሩ ጥንቃቄ ያድርጉ ፣ እንዲንሸራተት አይፍቀዱ ወይም አንዳንድ ቆሻሻዎች ይኖሩዎታል።

አሁን ገንቢዎን ያዘጋጁ። እኔ በ 18 1 ላይ 2 ካፒታሎችን እጠቀማለሁ ፣ ስለዚህ ሁለት ካፕቶች ገንቢ እና 36 ካፕ ንጹህ ያልበሰለ የቧንቧ ውሃ። ገንቢ በጠርሙስ ወይም በዱቄት መልክ ይመጣል እና ጠርሙስ አብዛኛውን ጊዜ ወደ 6 ዓመታት ያህል ይቆየኛል !!. በአይስ ክሬም መያዣ ውስጥ ይቀላቅሉት እና ግሎቭስ ይለብሱ። ጣቶችዎን በእሱ ውስጥ አያስቀምጡ ወይም ቅባቱ ይነካል። በክረምት ወቅት የሙቀት መጠን ችግር ሊሆን ይችላል። ውሃውን በ 20 ዲግሪዎች ወይም እዚያው ያቆዩት ፣ ወሳኝ አይደለም ፣ ግን ቅዝቃዜው ከሆነ ውጤቱ ሊገመት የማይችል ሊሆን ይችላል። ማካካሻ እንዲችሉ በጃንዋሪ ውስጥ ጋራዥዬ ውስጥ ከኩሽና ጋር አድርጌአለሁ።

ሰሌዳዎን ካስገቡ በኋላ መያዣውን ከጎን ወደ ጎን በቀስታ ያንቀሳቅሱት ፣ በትክክል ካደረጉት በትራኮች መካከል የሚያምር አንፀባራቂ መዳብ የሚገልጥ ከመዳብ ሲወገድ የመጋንታ ዥረት ዥረት ያያሉ። ሌላውን ጎን ለመፈተሽ ጓንትዎን በመጠቀም ያዙሩት። ይህ ሂደት በመደበኛነት አንድ ደቂቃ ያህል ይወስዳል ስለዚህ ሲጠናቀቅ ቦርዱን ያውጡ እና በሞቀ ውሃ ውስጥ በደንብ ያጥቡት። አሰልቺ ከሆነ እድሉ አልተሳካም።

እኔ ይህንን ሁለት ጊዜ አጋጥሞኝ ነበር ፣ ግን ብዙውን ጊዜ እኔ ጓንት ማድረግ ስላልቻልኩ ወይም የሙቀት መጠኑ በጣም ዝቅተኛ ስለሆነ ወይም በአንዳንድ መዘናጋት ምክንያት የተጋላጭነት ጊዜን ስላበላሸሁ… ለምን ወሳኝ በሆነ ነገር መሃል ይህንን ያደርጋሉ….

ማሳያዎችዎን ያሳዩኝ

እሺ ስለዚህ አሁን በ etch ተቃውሞ የተጠበቁ የሚያምሩ አረንጓዴ ዱካዎች ያሉት ሰሌዳ አለዎት እና አሁን የፈርሪክ ክሎራድን ማቋቋም ያስፈልግዎታል። አሁን ቆሻሻን ለመቀነስ እና በፓኬቱ ላይ ካሉ ማስታወሻዎች ጋር ለመቀላቀል አንድ አይነት አይስክሬም መያዣ እጠቀማለሁ። በሚመዝኑበት እና ወደ መፍትሄ በሚቀልጡ ኳሶች እሽግ ውስጥ የፈርሪክ ክሎራይድ እገዛለሁ። ወደ 1/3 ገደማ የሚሆነውን አይስክሬም ገንዳውን ለመሙላት በቂ ያድርጉ። ለሥራው በቂ ካደረጉ ከዚያ በፕላስቲክ መያዣ ውስጥ ማፍሰስ ይችላሉ እና ለረጅም ጊዜ ይቆያል።

ግሎቭስ መልበስ አለብዎት… በቅባት ምክንያት አይደለም ፣ ግን እጆችዎ ለሁለት ብርቱካን ብርቱካንማ ካልሆኑ። ለንደን ውስጥ አንድ አስፈላጊ ስብሰባ ከመደረጉ በፊት ይህንን አደረግኩ እና ታንጎዝ የነበረኝ ይመስላል። ሆኖም ይህ ነገር በማንኛውም መዳብ ዙሪያ መጥፎ ነው… አሮጌ ልብሶችን ይልበሱ ምክንያቱም በእነሱ ላይ ከደረሰ እነሱ ተጥለዋል። ከማይዝግ ብረት ማጠቢያ ገንዳዎች ጋር ተጣብቆ በአጠቃላይ ሁሉንም ነገር ያቆሽሻል። ይህንን ከሁሉም ነገር ውጭ ወይም ከቤት ውጭ ያድርጉት። የተረፈውን ወደ ፍሳሽ ማስወገጃዎች አያፈስሱ ፣ የውሃ ባለሥልጣኑ በሴፕቲክ ማጠራቀሚያዎቻቸው ውስጥ ለማስተዋወቅ የሚፈልገውን ሳይሆን ባክቴሪያዎችን በመግደል በጣም ጥሩ ነው። ለአንዳንድ ባለሥልጣናት ይህ እንዲሁ ሕገ -ወጥ ነው ስለዚህ አያድርጉ።

በመጀመሪያ ሰሌዳዎን ወደ መፍትሄው ውስጥ ሲያስገቡ መዳቡ እንደተጠቃ የሚያምር ቀይ የነሐስ ቀለም ይኖረዋል። ይህ ካልሆነ ታዲያ አስማሚው እንዳይሠራ የሚከለክልዎት ወይም መፍትሄዎ የተሳሳተ ከሆነ አሁንም የመለጠጥ ንብርብር ሊኖርዎት ይችላል። እንደዚያ ከሆነ ወደ ሥዕል ሰሌዳ እመለሳለሁ እፈራለሁ ፣ ግን ጥፋቶችን ከተከተሉ የእርስዎ አስማተኛ ስህተት ላይሆን ይችላል።

የሆነ ሆኖ ሁሉም ደህና ከሆነ በ etch ተቃውሞ የተጠበቁ አንዳንድ ፍጹም ትራኮች አሉዎት።

በተለምዶ በዚህ ነጥብ ላይ ባለሞያው ቀዳዳዎችን እና ንጣፎችን ዙሪያ ለማልማት እና በመዳብ በኩል ለማምረት ጭምብል ስብስቦችን ይጠቀማል እንዲሁም የመደመር አካላትን ቁጥሮች ለመሳል የሐር ማያ ገጽን ይጠቀሙ። ወዘተ. አንዳንድ የመዳብ ሽቦን ከደረጃ ወደ ንብርብር መጠቀም… በግልጽ እንደሚታየው ባለብዙ ንብርብር በዚህ አይደለም። እኔ በስሜቱ ውስጥ ከሆንኩ የአካል ክፍሎቹን እንዲሁ እንዲስሉ የሕትመት ንብርብርን በቁጥር በቁጥር እጨምራለሁ። በስብሰባው ውስጥ የተወሰነ ጊዜን ሊቆጥብ ይችላል ፣ ግን ቦርዱ ምን ያህል ሥራ በዝቶ እንደሆነ ላይ የተመሠረተ ነው።

እኔ አሁን ትራኮችን ለሌላ 2 ደቂቃዎች ወይም ከዚያ ጎን አጋልጣለሁ እና ሁሉንም የመቋቋም ተቃውሞ ለማስወገድ በገንቢው ውስጥ እገባለሁ።

እኔ አሁን ቪያዎችን እና ቀዳዳዎችን ሁሉ እቆፍራለሁ እና ሁሉንም ዱካዎች ቆፍሬ እና ባለብዙ ማይሜተርን ቀጣይነት እፈትሻለሁ። ይህ ክፍል የኳስ ህመም ነው እና በእውነቱ በእውነተኛ vias ላለው ለባለሙያ ፕሮዲዩሰር ቦርድ አያደርጉትም ፣ ነገር ግን ሽቦውን በመጠቀም እሱን ማድረጉ ጠቃሚ ነው። በአንድ ወገን ብቻ ሲሸጥ… ብዙ ጊዜ ተከሰተ!

ደረጃ 6 - ቦርዱን መሰብሰብ

ቦርዱን መሰብሰብ
ቦርዱን መሰብሰብ
ቦርዱን መሰብሰብ
ቦርዱን መሰብሰብ
ቦርዱን መሰብሰብ
ቦርዱን መሰብሰብ

እሺ ስለዚህ አሁን ለመሄድ ዝግጁ የሆነ ቦርድ አለኝ እና ሁሉንም ቪዛዎች እና ቀዳዳዎች ውስጥ ቆፍሬያለሁ።

ሁሉንም ቪዛዎች በሽቦ እና በሻጭ በሁለቱም በኩል ይከርክሙ። ጥበቃ ማድረግ እንደሌለበት ሁሉንም ትራኮች ማቃለል እወዳለሁ ፣ ነገር ግን የመዳብ ኦክሳይድን ማቆም ያቆማል።

በላዩ ላይ የተጫነውን የ sd ካርድን አያይዣለሁ እና በላዩ ላይ የሽያጭ መያዣን ለማግኘት ሁለት መሬቶችን ጨምሯል ፣ እና እሱን ለመሰካት ከታች ሁለት ጥንድ ፒኖች አሉት።

ቀጥሎ ADXL EEPROM ወዘተ ተጨምሯል።

ሀይለኛ በሆነ መልኩ ዕቅዱ 5 ቮን ከዩኤስቢ ወይም ከውጭ ባት መውሰድ እና በቦርዱ ላይ ባለው 3.3 ቪ ሬጅ በኩል መመገብ ነው። እኔ እንደ ሞጁል ተሰብስቦ በ 800mA ደረጃ የተሰጠው ከኤባይ የገዛሁት ትንሽ ሬጅ አለኝ… ያ 300 ግራታ ዩኤስቢ ሊያቀርበው ከሚችለው በላይ። በሶፍትዌር ውስጥ ሊለወጥ ስለሚችል እስካሁን ምንም የኃይል መለኪያዎች አልሠራሁም….. ለመቋቋም ከ ADXL ጋር INACTIVITY ን ሊያቋርጥ ይችላል። [WIP]

… ግንባታው እየገፋ ሲሄድ ስዕሎችን ማከል ያስፈልጋል።

ደረጃ 7: ኮዲንግ

እሺ ሌላ ሰው በሌላ የተሻለ ሥራ ስለሠራው ሁሉንም አርዱዲኖን አልሄድም።

እኛ በራሳችን ፕሮጀክት ውስጥ ጥቅም ላይ ሊውል በሚችልበት ጊዜ የሚዛመዱትን ቁርጥራጮች ብቻ እመርጣለሁ።

ወደ ድር ጣቢያው መስቀል እስከሚታሰብ ድረስ ይህ አሁንም በሂደት ላይ ነው ፣ ግን አንዳንድ ኮዱን እንዲያልፍ ያስችለዋል።

ሀሳቡ የተለመደው ተግባር ጂፒኤስ /ማሳያ /ኤስዲ ካርድ /የእውነተኛ ሰዓት ሰዓት /ext_flashmemory እና የፍጥነት መለኪያ ባካተቱ መሣሪያዎች ውስጥ ነው።

ከዚያ በኋላ የተቋረጠው ቁልፍ sw ተጭኖ እንደሆነ ለማየት እየጠበቅን ወደ አንድ ዙር እንገባለን። ከዚያ ወደ የድረ -ገፁ ውቅረት እንደ ግብዓት በተጠቀሰው መሣሪያ ላይ በራስ -ሰር እንዲገባ የ Wi -Fi አውታረ መረብን ለማዋቀር ወደ ውቅረት ምናሌው ይዝለሉ። መጀመሪያ ሁሉንም መሣሪያዎች ለሲሲድ ይቃኛል እና ከዚያ አንዱን እንዲመርጡ ያስችልዎታል እና ወደ ብልጭታ ያስቀምጡት በሚቀጥለው ማስነሻ ላይ ቅንብሮቹን ከብልጭታ እንደሚጭኑ ለማመልከት ከባንዲራ ጋር። ከብልጭቱ ውስጥ ንፁህ ለመጫን እና ነባሪውን ለመጫን ወደ አይፓድ አድራሻው በመግባት እና በመተየብ /በመግደል /በማስገደድ ይህንን ሁል ጊዜ መሻር ይችላሉ።

ለ adxl የፍጥነት መለኪያ ኮዱ ውስጥ የተገለጸው የማቋረጥ ተግባር እና በሁለት የተለያዩ ፒኖች ላይ ለማዋቀር ዝላይ እዚህ አለ። በ SHOCK ክስተት ላይ ለማቋረጥ የ ADXL ማቋረጫውን እየተጠቀምን ነው። ለሁለቱም ማቋረጦች በዋናው ‹ሉፕ› ውስጥ ዳግም የተጀመረ ባንዲራ እናስቀምጣለን። ምን እንደሚመስል እነሆ

የተያያዘውን ኮድ ይመልከቱ

ደረጃ 8 የ SDA SCL ፒኖችን ማግኘት

ይህንን ኮድ ይጠቀሙ

Serial.println (SDA);

Serial.println (SCL);

በአሁኑ ጊዜ በ ESP ውስጥ ለ SDL እና ለ SCL የተዋቀሩትን ፒኖች ያትማል

በ ESP32 ውስጥ እንደ ተከታታይ ወደቦች ላሉ ተግባራት ፒኖችን ለካርታ የሚያገለግል ፋይል አለ

የሚመከር: