ዝርዝር ሁኔታ:

ስለ ESP32 ADC ማስተካከያ ያውቃሉ ?: 29 ደረጃዎች
ስለ ESP32 ADC ማስተካከያ ያውቃሉ ?: 29 ደረጃዎች

ቪዲዮ: ስለ ESP32 ADC ማስተካከያ ያውቃሉ ?: 29 ደረጃዎች

ቪዲዮ: ስለ ESP32 ADC ማስተካከያ ያውቃሉ ?: 29 ደረጃዎች
ቪዲዮ: SKR Pro v1.2 - TMC2226 UART with Sensorless Homing 2024, ሀምሌ
Anonim
Image
Image
ጥቅም ላይ የዋሉ ሀብቶች
ጥቅም ላይ የዋሉ ሀብቶች

ዛሬ ፣ እኔ ስለ አንድ ተጨማሪ ቴክኒካዊ ጉዳይ እነጋገራለሁ ፣ ግን አንድ ከ ESP32 ጋር የሚሰራ ሁሉ ማወቅ ያለበት ይመስለኛል-የኤዲሲ (የአናሎግ-ወደ ዲጂታል መቀየሪያ) ጉዳይ ማስተካከያ ማስተካከል። ይህ አስፈላጊ ሆኖ አግኝቼዋለሁ ምክንያቱም “ልኬት” በሚሠራበት ጊዜ ፣ በተለይም የአናሎግ ውፅዓት ካለው መሣሪያ ጋር ፣ ንባቡ በትክክል እየተከናወነ መሆኑን ሙሉ በሙሉ እርግጠኛ መሆን አለብዎት።

በቪዲዮው ውስጥ ፣ ስለዚህ ፣ የ ESP32 “የአናሎግ-ዲጂታል መቀየሪያ” ን በመጠቀም ልኬቶችን እናከናውናለን ፣ የልወጣ ልዩነቶችን እንጠብቃለን ፣ እና የ ADC ማስተካከያ / የመለኪያ ዘዴን ተግባራዊ እናደርጋለን።

ደረጃ 1 የ AD መለወጫ ምንድነው?

የ AD መለወጫ የአናሎግ (ቀጣይ) ብዛትን ወደ ዲጂታል (ልዩ) እሴቶች መተርጎም የሚችል ወረዳ ነው። ያ ማለት ምን ማለት ነው? ይህ ማለት ዲጂታል እሴቶች በዜሮዎች እና በአንዱ ጥምር የተገነቡ የተለዩ እሴቶችን ብቻ ሊገምቱ ቢችሉም ፣ የአናሎግ ብዛት በአንድ ክልል ውስጥ ማንኛውንም እሴት ሊወስድ ይችላል። ለምሳሌ ፣ የአንድ ተስማሚ የ AA ህዋስ voltage ልቴጅ ከለከልን ፣ ይህ የአናሎግ ብዛት ስለሆነ ማንኛውንም እሴት በ 0V እና 1.5V መካከል ማግኘት እንችላለን። የአንድ ተስማሚ መብራት የውጤት ሁኔታ ሁለት ግዛቶችን ብቻ (ማጥፋት ወይም ማብራት) አለበት ፣ ይህም የተለየ መጠን ነው። ማይክሮ ተቆጣጣሪዎች ይህንን የተለየ አመክንዮ በመጠቀም ስለሚሠሩ ፣ የአናሎግ ብዛትን ወደ ዲጂታል (ወይም ልዩ) የመተርጎም ችሎታ ያለው ወረዳ ያስፈልገናል።

ደረጃ 2 - ያገለገሉ ሀብቶች

• አንድ ሎሊን 32 ሊት ካርድ v1.0.0

• ለመያዝ Tektronix TDS1001C oscilloscope

• ለ ESP32 አንድ የዩኤስቢ ገመድ

• አንድ Hantek DSO4102C oscilloscope እንደ የምልክት ጀነሬተር

ደረጃ 3: ESP32 ADC

ESP32 ኤ.ዲ.ሲ
ESP32 ኤ.ዲ.ሲ

እንደ ኤስፕሬሲፍ መረጃ ከሆነ ፣ የ ESP32 ቺፕስ በተለካ ውጤቶች ውስጥ ከአንድ ቺፕ ወደ ሌላ የ +/- 6% ልዩነት ሊያቀርቡ ይችላሉ።

በተጨማሪም ፣ ልወጣው ለእያንዳንዱ ንባብ የሚገኝ ክልል ቀጥተኛ መልስ የለውም። ኤስፕሬሲፍ የመለኪያ ዘዴን ይሰጣል እና ተፈላጊውን ትክክለኛነት ለማሳካት አስፈላጊ ሆኖ ከተገኘ ተጠቃሚዎች ሌሎች ዘዴዎችን እንዲተገብሩ ይጠቁማል።

የውሂብ ማግኛን እናከናውናለን ፣ እናም ከዚህ ፣ የኤዲሲ ምላሾችን እና ማስተካከያውን ለማንበብ የሂሳብ ሂደትን የመተግበር ምሳሌን እናሳያለን።

እነዚህን ጥገናዎች ለማከናወን ብዙ (ቀላል ወይም የበለጠ ውስብስብ) መንገዶች አሉ። ለፕሮጀክትዎ በጣም ተገቢውን መገምገም የእርስዎ ነው።

እዚህ የሚታየው ምሳሌያዊ ዓላማ ይኖረዋል እና በማስተካከል ጊዜ ሊታዩ የሚችሉ አስደሳች ነጥቦችን ለመቅረፍ ይሞክራል።

ደረጃ 4: የወረዳ ጥቅም ላይ ውሏል

ወረዳ ጥቅም ላይ ውሏል
ወረዳ ጥቅም ላይ ውሏል

እኔ እስከ 25 ሜኸ ፣ ሃንቴክ DSO4102C ድረስ ከሚሄድ የምልክት ጀነሬተር ጋር ኦስቲልስኮፕን እጠቀም ነበር። በ ESP A / D እና በ oscilloscope የተነበበ ማዕበል አመንጭተናል። የተሰበሰበው መረጃ በ csv እና በተመን ሉህ ውስጥ ተመዝግቧል ፣ እኔ በጽሁፉ መጨረሻ ለማውረድ እተወዋለሁ።

ደረጃ 5: ጥቅም ላይ ውሏል

ጥቅም ላይ ውሏል
ጥቅም ላይ ውሏል

በጠቅላላው የመቀየሪያ ክልል ውስጥ የሚያልፉትን መወጣጫዎች ለመድረስ የሚያስችል ዝቅተኛ-ድግግሞሽ ትራፔዞይድ ምልክት መርጠናል። ይህ በእነዚህ መወጣጫዎች ላይ ብዙ ናሙናዎችን ይፈቅዳል።

ደረጃ 6 - በኦስሴስኮስኮፕ የተገኘ መረጃ

መረጃ በ Oscilloscope የተገኘ
መረጃ በ Oscilloscope የተገኘ

የመያዣው ምስል በኦስቲልስኮፕ ተከናውኗል። ውሂቡ በ csv ፋይል ውስጥ ተከማችቷል። በምልክቱ መነሳት እና መውደቅ ላይ ትንሽ መጠምዘዝን ልብ ይበሉ።

ደረጃ 7 - በ Oscilloscope (በ Excel ውስጥ csv ፋይል) የተገኘ መረጃ

መረጃ በ Oscilloscope (csv ፋይል በ Excel ውስጥ)
መረጃ በ Oscilloscope (csv ፋይል በ Excel ውስጥ)

ናሙናዎቹ እዚህ አሉን።

ደረጃ 8 - በኤዲሲ የተገኘ መረጃ

በኤዲሲ የተገኘ መረጃ
በኤዲሲ የተገኘ መረጃ

የተከታዩን የዝውውር መጠን በመቀየር በኤዲሲ የተያዘውን መረጃ ማየት እንችላለን። የ trapezoidal ምልክት መበላሸት ይመልከቱ።

በአርዱዲኖ አይዲኢ ተከታታይ ሴራተኛ ላይ የተመለከተ መረጃ

ደረጃ 9 - በኤዲሲ የተገኘ መረጃ - ኤክሴል

በ ADC የተገኘ መረጃ - ኤክሴል
በ ADC የተገኘ መረጃ - ኤክሴል

ከፍ ያለ ተመን እና ተከታታይ ተርሚናል በመጠቀም እሴቶቹን መያዝ እና ለንፅፅሮቻችን በ Excel ውስጥ መተግበር እንችላለን።

ደረጃ 10: የመውጣት ተራሮችን ማወዳደር

የመውጣት ተራሮችን ማወዳደር
የመውጣት ተራሮችን ማወዳደር

የሁለቱን መያዣዎች ሁለቱን ከፍ ያሉ መወጣጫዎችን እናነፃፅራለን።

በሁለቱም መወጣጫዎች ላይ የሚከሰተውን ኩርባ ልብ ይበሉ።

እንዲሁም ለተመሳሳይ ከፍ ያለ መንገድ ፣ ከ oscilloscope የበለጠ ብዙ የ ESP32 ናሙናዎች እንዳሉን ልብ ይበሉ።

ደረጃ 11: የናሙናዎችን ቁጥር ማመሳሰል

የናሙናዎችን ቁጥር ማመሳሰል
የናሙናዎችን ቁጥር ማመሳሰል
የናሙናዎችን ቁጥር ማመሳሰል
የናሙናዎችን ቁጥር ማመሳሰል

ESP32 ከአ oscilloscope የበለጠ ብዙ ናሙናዎችን ስለሰጠ ፣ ሁለቱን ኩርባዎች ለማወዳደር እንደ ጠቋሚ ሆነው ስለሚያገለግሉ እነዚህን እሴቶች ማመሳሰል አለብን።

ለዚህም ፣ ቀጥተኛ ንፅፅር እናደርጋለን።

ለአ oscilloscope መወጣጫ 305 ናሙናዎች እና ለኤዲሲ መወጣጫ 2365 ናሙናዎች አሉን።

መወጣጫዎቹ አንድ ዓይነት ክልል ስለሆኑ ለእያንዳንዱ oscilloscope በግምት 7.75 የኤዲሲ ናሙናዎች አሉን ማለት እንችላለን።

የእያንዳንዱ oscilloscope ናሙና መረጃ ጠቋሚ ማባዛት ተመሳሳይ ኩርባ አለው ፣ ግን ከኤ.ዲ.ሲ እና እንደገና ከተሰራጨው መረጃ ጠቋሚዎች ጋር።

ለአዲሶቹ የሥራ ቦታዎች የጎደለውን ውሂብ ለመሙላት ፣ በስታቲስቲክስ የታወቀውን መረጃ የሚስማማውን ኩርባ ተግባራዊ እናደርጋለን።

ደረጃ 12 - ክፍተቶችን መሙላት - አዝማሚያ መስመር

ክፍተቶችን መሙላት - አዝማሚያ መስመር
ክፍተቶችን መሙላት - አዝማሚያ መስመር
ክፍተቶችን መሙላት - አዝማሚያ መስመር
ክፍተቶችን መሙላት - አዝማሚያ መስመር

የታወቀውን ውሂብ (ሰማያዊ ነጥቦችን) በመምረጥ ፣ ጠቅ በማድረግ እና ከዚያ በቀኝ አዝራር ጠቅ በማድረግ ፣ “አዝማሚያ መስመር ያክሉ…” የሚለውን እንመርጣለን።

በሚታየው መስኮት ውስጥ የፖሎኖሚሊያ ዓይነትን እንመርጣለን (ትዕዛዝ 2 በቂ ይሆናል)።

እንዲሁም “በገበታው ውስጥ እኩልታን ይመልከቱ” እና “በገበታው ውስጥ የ R- ካሬ ዋጋን አሳይ” ያሉትን አማራጮች ፈትሸናል።

“ዝጋ” ላይ ጠቅ እናደርጋለን።

ደረጃ 13 - ክፍተቶችን መሙላት - 2 ኛ ክፍል ፖላኖሚያል ኩርባ

ክፍተቶችን መሙላት - 2 ኛ ክፍል ፖላኖሚያል ኩርባ
ክፍተቶችን መሙላት - 2 ኛ ክፍል ፖላኖሚያል ኩርባ

ኤክሴል ሁለት አዳዲስ መረጃዎችን ይሰጠናል ፤ ውሂቡን በተሻለ ሁኔታ የሚስማማው ሁለተኛው የትዕዛዝ ቀመር እና ይህንን በቂነት የሚለካው የ R- ካሬ እኩልታ።

ልክ ወደ 1 ሲቃረብ ፣ ቀመር ይበልጥ ተገቢ መሆኑን ያስታውሱ።

በተሳተፈው ሂሳብ ውስጥ አንገባም ፣ እንደ መሣሪያ እንጠቀምበት።

ደረጃ 14 - ክፍተቶችን መሙላት - ተግባሩን መገምገም

የናሙና ክፍተቶችን በእኩልነት በተፈጠረው መረጃ እንሞላ። እና ከዚያ ፣ ነጥቦችን በነጥብ ያወዳድሩ።

y = -9E -08x2 + 0, 0014x + 0, 1505

R² = 0, 9999

Oscilloscope voltage = -9E -08 * index2 + 0, 0014 * መረጃ ጠቋሚ + 0, 1505

ደረጃ 15 ከኤሲሲ ጋር ለማወዳደር የኦስሴሎስስኮፕ ቮልቴጅን ወደ ተመጣጣኝ እሴት መለወጥ

ከኤዲሲ ጋር ለማነፃፀር የኦሲስኮስኮፕ ቮልቴጅን ወደ ተመጣጣኝ እሴት መለወጥ
ከኤዲሲ ጋር ለማነፃፀር የኦሲስኮስኮፕ ቮልቴጅን ወደ ተመጣጣኝ እሴት መለወጥ

የአ oscilloscope voltage ን እሴት ወደ ተመጣጣኝ የኤዲሲ እሴት ለመቀየር ይህንን እንጠቀም።

በ ESP32 ኤ.ዲ.ፒ ውስጥ የተገኘው ከፍተኛ እሴት 4095 ነበር ፣ ይህም ለተመሳሳይ ጠቋሚ ከ 2.958 ቪ ንባብ ጋር እኩል ነው ፣ እኛ ማለት እንችላለን -

በ oscilloscope መለኪያዎች ውስጥ ያለው እያንዳንዱ ቮልት በግምት 1384.4 የኤ.ዲ. ስለዚህ ፣ ሁሉንም የ oscilloscope ልኬቶችን በዚህ እሴት ማባዛት እንችላለን።

ደረጃ 16 - የተገኙትን ሁለት ራምፖች ማወዳደር

የተገኙትን ሁለት ራምፖች ማወዳደር
የተገኙትን ሁለት ራምፖች ማወዳደር

በሁለቱ ንባቦች ውስጥ የተገኙትን ልዩነቶች በዓይነ ሕሊናዎ ማየት።

ደረጃ 17 - የ ADC ን ንባብ ልዩነት ባህሪ (ስህተት)

የ ADC የንባብ ልዩነት ባህሪ (ስህተት)
የ ADC የንባብ ልዩነት ባህሪ (ስህተት)

ከዚህ በታች ያለው ኩርባ በኤዲሲ ንባብ ውስጥ ያለው ልዩነት እንደ የመለኪያ ተግባር እንዴት እንደሚሠራ ያሳያል። ይህ የመረጃ ስብስብ የማረሚያ ተግባር እንድናገኝ ያስችለናል።

ይህንን ኩርባ ለማግኘት ፣ በእያንዳንዱ ልኬት ውስጥ የተገኘውን ልዩነት እንደ እያንዳንዱ የ AD አቀማመጥ (ከ 0 እስከ 4095) ተግባር በቀላሉ እናሴራለን።

ደረጃ 18 የ ADC ንባብ ልዩነት ባህሪ - የማረሚያ ተግባር መፈለግ

የኤ.ዲ.ሲ የንባብ ልዩነት ባህሪ - የማረም ተግባር መፈለግ
የኤ.ዲ.ሲ የንባብ ልዩነት ባህሪ - የማረም ተግባር መፈለግ

በእኛ የውሂብ መጠን በበቂ ሁኔታ እስኪያሟላ ድረስ አሁን ከፍተኛ ደረጃ ያለው አዝማሚያ መስመርን በማከል በ Excel ውስጥ የእርማት ተግባርን መወሰን እንችላለን።

ደረጃ 19 - ሌላ ሶፍትዌር መጠቀም

ሌላ ሶፍትዌር መጠቀም
ሌላ ሶፍትዌር መጠቀም
ሌላ ሶፍትዌር መጠቀም
ሌላ ሶፍትዌር መጠቀም
ሌላ ሶፍትዌር መጠቀም
ሌላ ሶፍትዌር መጠቀም
ሌላ ሶፍትዌር መጠቀም
ሌላ ሶፍትዌር መጠቀም

ኩርባዎችን ለመወሰን ሌላ አስደሳች ሶፍትዌር ፖሊሶልቭ ነው ፣ ይህም በቀጥታ በአገናኙ ላይ ሊሠራበት ይችላል- https://arachnoid.com/polysolve/ ወይም እንደ ጃቫ መተግበሪያ ማውረድ።

የከፍተኛ ደረጃ የብዙ-ደረጃ ድግግሞሾችን ትግበራ እና የተቀረፀውን ተግባር ማድረስን እንዲሁም ሌሎች ተግባሮችን ይፈቅዳል።

እሱን ለመጠቀም በቀላሉ በመጀመሪያው የጽሑፍ ሳጥን ውስጥ ውሂቡን ያስገቡ። ውሂቡ X ፣ Y ን በነጠላ ሰረዝ ወይም በትር የተለዩትን ቅደም ተከተል መከተል አለበት። ነጥቡን እንደ አስርዮሽ ነጥብ በትክክል ለመጠቀም ጥንቃቄ ያድርጉ።

የገባው ውሂብ በትክክል ከተቀረጸ በሚቀጥለው ሳጥን ውስጥ ገበታ ይታያል።

የእኛ የኤዲሲ የስህተት ኩርባ እንዴት እንደሄደ እነሆ።

ይህ መስኮት የተግባራዊነት መረጃን ጨምሮ የሪፈሩን ውጤት ያቀርባል ፣ ይህ ደግሞ የውጤቱ ቅርጸት በብዙ መንገዶች ሊቀረጽ ይችላል -እንደ ሲ / ሲ ++ ተግባር ፣ የተባባሪ ዝርዝር ፣ በጃቫ የተፃፈ ተግባር ፣ ወዘተ።

ማስታወሻ ለአስርዮሽ መለያየቶች ትኩረት ይስጡ

ደረጃ 20 ቋሚ እና ማዋቀር ()

እኔ ለአናሎግ ለመያዝ ያገለገለውን GPIO እዚህ እጠቁማለሁ። እኔ ተከታታይ ወደቡን ፣ እንዲሁም ለአናሎግ ለመያዝ የተወሰነው ፒን እጀምራለሁ።

const int pin_leitura = 36; // GPIO usado para captura analógica ባዶ ቅንብር () {Serial.begin (1000000); // የበርታ ተከታታይ somente para ማረሚያ pinMode (pin_leitura ፣ INPUT); // Pino utilizado para captura analógica}

ደረጃ 21 Loop () እና የማረሚያ ተግባር

እኛ የተስተካከለውን voltage ልቴጅ እንይዛለን ፣ እና እሴቶቹን ከትክክለኛ እርማቶች ጋር ወይም ያለማተም እናተምታለን።

ባዶነት loop () {int valor_analogico = analogRead (pin_leitura); // realiza a captura da tensão ajustada //Serial.print(valor_analogico + f (valor_analogico)); // imprime os valores para debug (COM CORREÇÃO) Serial.print (valor_analogico); // imprimime os valores para debug (SEM CORREÇÃO) Serial.print (","); Serial.print (4095); // cria uma linha para marcar o valor máximo de 4095 Serial.print (","); Serial.println (0); // cria uma linha para marcar o valor mínimo de 0}

የልዩነት ተግባር ረ (analog_value) በመጨመር መረጃውን የማተም አማራጭ እንዳለን በመስመር 12 ላይ ያስተውሉ።

ደረጃ 22 የ polySolve እርማት ተግባርን መጠቀም

እዚህ ፣ በአርዱዲኖ አይዲኢ ውስጥ የ polySolve ተግባርን እንጠቀማለን።

/* ሞድ-መደበኛ የፖላኖሚያል ዲግሪ 6 ፣ 2365 x ፣ y የውሂብ ጥንዶች የትብብር ቅንጅት (r^2) = 9 ፣ 907187626418e-01 መደበኛ ስህተት = 1 ፣ 353761109831e+01 የውጤት ቅጽ C/C ++ ተግባር የቅጂ መብት © 2012 ፣ ፒ. ሉቱስ - https://www.arachnoid.com. መብቱ በህግ የተጠበቀ ነው. */ ድርብ ረ (ድርብ x) {መመለስ 2.202196968876e + 02 + 3.561383996027e-01 * x + 1.276218788985e-04 * ፓው (x ፣ 2) + -3.470360275448e-07 * ፓው (x ፣ 3) + 2.082790802069e- 10 * ዱቄት (x ፣ 4) + -5.306931174991e-14 * ፓው (x ፣ 5) + 4.787659214703e-18 * ፓው (x ፣ 6); }

የኮማ-በ-ነጥብ ለውጥን እንደ የአስርዮሽ መለያየት ልብ ይበሉ።

ደረጃ 23: በማረም ይያዙ - ፕሎተር ተከታታይ

በማረም ይያዙ - ፕሎተር ተከታታይ
በማረም ይያዙ - ፕሎተር ተከታታይ

24 ደረጃ - የስሌት ዋጋ

የስሌት ወጪ
የስሌት ወጪ
የስሌት ወጪ
የስሌት ወጪ

የብዙ ቁጥር ስሌቶችን ለማከናወን ለአቀነባባሪው ይህንን ተግባር ማስተናገድ አስፈላጊ ነው። ይህ በምንጭ ኮድ እና ባለው የኮምፒተር ኃይል ላይ በመመስረት ይህ ወደ አፈፃፀም መዘግየቶች ሊያመራ ይችላል።

እዚህ ፣ ባለብዙ ዲግሪ ፖሊኖሚየሎችን በመጠቀም የሙከራ የውጤት ሰንጠረዥ እናያለን። የዱቄት () ተግባር በተጠቀመበት እና ባልነበረበት ጊዜ መካከል ያለውን ልዩነት ልብ ይበሉ።

ደረጃ 25 የሙከራ ኮድ - ማዋቀር () እና የሉፕ ጅምር ()

እዚህ ፣ በእኛ ሙከራ ውስጥ ጥቅም ላይ የዋለው ኮድ አለን።

ባዶነት ማዋቀር () {Serial.begin (1000000); // Iniciando a porta serial somente para debug} ባዶ ክፍተት () {float valor_analogico = 500.0; // um valor arbtrario float quantidade = 10000.0; // ኳንቲዳዴ ዴ ቻማዳስ ተንሳፈፈ contador = 0.0; // contador de chamadas

ደረጃ 26 የሙከራ ኮድ - ሉፕ () እና ሂደት

እሴቱን በማይክሮ ሰከንዶች ውስጥ ለማግኘት የማይክሮሶቹን () ተግባር እጠቀም ነበር።

// ============= inicia o processo float agora = micros (); // marca o instante inicial while (contador <quantidade) {// v (valor_analogico); // função vazia // r (valor_analogico); // função com retorno // f0 (valor_analogico); // grau 0 // f1 (valor_analogico); // grau 1 // f2 (valor_analogico); // grau 2 // f3 (valor_analogico); // grau 3 // f4 (valor_analogico); // grau 4 // f5 (valor_analogico); // grau 5 // f6 (valor_analogico); // grau 6 // f13_semPow (valor_analogico); // grau 13º SEM a função POW // f13_comPow (valor_analogico); // grau 13º COM a função POW contador ++; } agora = (micros () - agora) / quantidade; // ዲዳሚና o ኢንተርቫሎ que se passou para cada iteração // ============= ፊንሊዛ o ፕሮሴሶ

ደረጃ 27 የሙከራ ኮድ - ሉፕ () - ውጤቶች

ለማወዳደር ከ POW ክፍል 13 ተግባር የተመለሰውን እሴት ከ POW ጋር እና ያለ ንፅፅር እንዲሁም የአሠራር ክፍተቱን እናተምታለን።

// imprime o valor retornado da função de grau 13 com e sem POW para comparação Serial.print (f13_semPow (valor_analogico)); // grau 13º SEM a função POW Serial.print (" -"); Serial.print (f13_comPow (valor_analogico)); // grau 13º COM a função POW Serial.print (" -"); // ያለመታዘዝ ሂደት በሂደት ላይ Serial.println (agora ፣ 6); }

ደረጃ 28 የሙከራ ኮድ - ያገለገሉ ተግባራት

የ 0 እና 1 ዲግሪ ባዶ ተግባራት (በመመለስ ብቻ)።

// FUNÇÃO VAZIAdouble v (double x) {} // FUNÇÃO SOMENTE COM RETORNO double r (double x) {return x; } // FUNÇÃO DE GRAU 0 double f0 (double x) {return 2.202196968876e+02; } // FUNÇÃO DE GRAU 1 ድርብ f1 (ድርብ x) {ተመላሽ 2.202196968876e + 02 + 3.561383996027e-01 * x; }

2 ኛ ፣ 3 ኛ እና 4 ተግባራት።

// FUNÇÃO DE GRAU 2 እጥፍ ድርብ f2 (ድርብ x) {ተመላሽ 2.202196968876e + 02 + 3.561383996027e-01 * x + 1.276218788985e-04 * pow (x, 2); } // FUNÇÃO DE GRAU 3 double f3 (double x) {return 2.202196968876e + 02 + 3.561383996027e-01 * x + 1.276218788985e-04 * pow (x, 2) + -3.470360275448e-07 * pow (x, 3); } // FUNÇÃO DE GRAU 4 double f4 (double x) {return 2.202196968876e + 02 + 3.561383996027e-01 * x + 1.276218788985e-04 * pow (x, 2) + -3.470360275448e-07 * pow (x, 3) + 2.082790802069e-10 * ዱቄት (x ፣ 4); }

5 ኛ እና 6 ኛ ክፍል ተግባራት።

// FUNÇÃO DE GRAU 5 እጥፍ ድርብ f5 (ድርብ x) {ተመላሽ 2.202196968876e + 02 + 3.561383996027e-01 * x + 1.276218788985e-04 * ፓው (x ፣ 2) + -3.470360275448e-07 * ፓው (x ፣ 3) + 2.082790802069e-10 * ዱቄት (x ፣ 4) + -5.306931174991e-14 * ዱቄት (x ፣ 5); } // FUNÇÃO DE GRAU 6 double f6 (double x) {return 2.202196968876e + 02 + 3.561383996027e-01 * x + 1.276218788985e-04 * pow (x, 2) + -3.470360275448e-07 * pow (x, 3) + 2.082790802069e-10 * pow (x, 4) + -5.306931174991e-14 * pow (x, 5) + 4.787659214703e-18 * pow (x, 6); }

POW ን በመጠቀም የ 13 ኛ ክፍል ተግባር።

// FUNÇÃO DE GRAU 13 USANDO O POWdouble f13_comPow (ድርብ x) {ተመላሽ 2 ፣ 161282383460e + 02 + 3 ፣ 944594843419e-01 * x + 5 ፣ 395439724295e-04 * ፓው (x ፣ 2) + -3 ፣ 968558178426e-06 ፓው (x ፣ 3) + 1 ፣ 047910519933e-08 * ፓው (x ፣ 4) + -1 ፣ 479271312313e-11 * ፓው (x ፣ 5) + 1 ፣ 220894795714e-14 * ፓው (x ፣ 6) + -6 ፣ 136200785076e-18 * ፓው (x ፣ 7) + 1 ፣ 910015248179e-21 * ፓው (x ፣ 8) + -3 ፣ 566607830903e-25 * ፓው (x ፣ 9) +5 ፣ 000280815521e-30 * ፓው (x ፣ 10) + 3 ፣ 434515045670e-32 * ፓው (x ፣ 11) + -1 ፣ 4076354444444-35 * ፓው (x ፣ 12) + 9 ፣ 871816383223e-40 * ፓው (x ፣ 13) ፤ }

POW ን ሳይጠቀሙ የ 13 ኛ ክፍል ተግባር።

// FUNÇÃO DE GRAU SEM USAR O POWdouble f13_semPow (ድርብ x) {ተመላሽ 2 ፣ 161282383460e + 02 + 3 ፣ 944594843419e-01 * x + 5 ፣ 395439724295e-04 * x * x + -3 ፣ 968558178426e-06 * x * * x + 1 ፣ 047910519933e-08 * x * x * x * x + -1 ፣ 479271312313e-11 * x * x * x * x * x + 1 ፣ 220894795714e-14 * x * x * x * x * x * x + -6 ፣ 136200785076e-18 * x * x * x * x * x * x * x + 1 ፣ 910015248179e-21 * x * x * x * x * x * x * x * x * x + -3 ፣ 566607830903e- 25 * x * x * x * x * x * x * x * x * x + 5, 000280815521e-30 * x * x * x * x * x * x * x * x * x * x * x + 3, 434515045670e- 32 * x * x * x * x * x * x * x * x * x * x * x + -1, 407635444704e -35 * x * x * x * x * x * x * x * x * x * x * x * x * x + 9, 871816383223e-40 * x * x * x * x * x * x * x * x * x * x * x * x * x * x; }

ደረጃ 29: ፋይሎች

ፋይሎቹን ያውርዱ ፦

ፒዲኤፍ

INO

የተመን ሉህ

የሚመከር: