ዝርዝር ሁኔታ:

የመሠረታዊ መለኪያዎች ራስ -ሰር ቁጥጥር ያለው የአኳሪየም ዲዛይን 4 ደረጃዎች (ከስዕሎች ጋር)
የመሠረታዊ መለኪያዎች ራስ -ሰር ቁጥጥር ያለው የአኳሪየም ዲዛይን 4 ደረጃዎች (ከስዕሎች ጋር)

ቪዲዮ: የመሠረታዊ መለኪያዎች ራስ -ሰር ቁጥጥር ያለው የአኳሪየም ዲዛይን 4 ደረጃዎች (ከስዕሎች ጋር)

ቪዲዮ: የመሠረታዊ መለኪያዎች ራስ -ሰር ቁጥጥር ያለው የአኳሪየም ዲዛይን 4 ደረጃዎች (ከስዕሎች ጋር)
ቪዲዮ: The Life and Death of Mr. Badman | John Bunyan | Christian Audiobook 2024, ሰኔ
Anonim
የመሠረታዊ መለኪያዎች ራስ -ሰር ቁጥጥር ያለው የአኳሪየም ዲዛይን
የመሠረታዊ መለኪያዎች ራስ -ሰር ቁጥጥር ያለው የአኳሪየም ዲዛይን
የመሠረታዊ መለኪያዎች ራስ -ሰር ቁጥጥር ያለው የአኳሪየም ዲዛይን
የመሠረታዊ መለኪያዎች ራስ -ሰር ቁጥጥር ያለው የአኳሪየም ዲዛይን

ዛሬ ፣ የባህር ውስጥ የውሃ ማጠራቀሚያ እንክብካቤ ለእያንዳንዱ የውሃ ተመራማሪ ይገኛል። የውሃ ማጠራቀሚያ (aquarium) የማግኘት ችግር አስቸጋሪ አይደለም። ነገር ግን ለነዋሪዎቹ ሙሉ የሕይወት ድጋፍ ፣ ከቴክኒካዊ ውድቀቶች ጥበቃ ፣ ቀላል እና ፈጣን ጥገና እና እንክብካቤ ፣ በራስ ገዝ የሕይወት ድጋፍ መርሆዎች ላይ የተመሠረተ የውሃ ገንዳ መፍጠር አስፈላጊ ነው። ዘመናዊ የፈጠራ ባለቤትነት ቴክኖሎጂዎች የውሃ ውስጥ ነዋሪዎችን በባህሮች እና በውቅያኖሶች ውስጥ በሰው ሰራሽ ሁኔታዎች ውስጥ - በተቻለ መጠን ለተፈጥሮ መኖሪያቸው ቅርብ እንዲሆኑ ያስችላቸዋል። አውቶማቲክ ሲስተም ሁሉንም የሕይወት ድጋፍ ሂደቶችን እና መሣሪያዎችን ይቆጣጠራል ፣ ታይቶ የማይታወቅ ቅልጥፍናን እና ትላልቅ የ aquarium ህንፃዎችን እና የውሃ ማጠራቀሚያዎችን የማስተዳደር እና ጥገናን ፣ ከፍተኛ አስተማማኝነትን እና ከችግር ነፃ የሆነ ክወና ፣ ከፍተኛ ጥራት ያለው ውሃ እና በውጤቱም ረጅምና ጤናማ ሕይወት የባህር እንስሳት። ለቁጥጥር እና አውቶማቲክ የተለያዩ አጠቃላይ ተግባራት አሉ ፣ ለምሳሌ - አውቶማቲክ መብራት መቀየሪያ ፣ የቀን ብርሃን ሁኔታዎችን ማስመሰል ፣ የተቀመጠውን የሙቀት መጠን ጠብቆ ማቆየት ፣ የተፈጥሮ መኖሪያውን በተሻለ ሁኔታ መጠበቅ እና ውሃውን በኦክስጂን ማበልፀግ። የባሕር ሕይወት መደበኛ ሕይወት በተሻለ ሁኔታ ለመደገፍ የአኳሪየም ኮምፒተሮች እና መለዋወጫዎች አስፈላጊ ናቸው። ለምሳሌ ፣ ድንገተኛ ፓምፕ በማይኖርበት ጊዜ እና ዋናው ፓምፕ ሲሰበር ፣ ከጥቂት ሰዓታት በኋላ የባህር እንስሳት መሞት ይጀምራሉ ፣ ስለሆነም ለአውቶሜሽን ምስጋና ይግባው ስለማንኛውም ስህተቶች መለየት እንችላለን ወይም ብልሽቶች። የተገለጹትን መመዘኛዎች በእጅ ለማዋቀር ብዙ ማጭበርበሪያዎችን ማከናወን ፣ ሙከራዎችን ማካሄድ እና መሣሪያዎቹን ማስተካከል ያስፈልግዎታል። የውሃ ትንተና በእጅ ማካሄድ ቀድሞውኑ ያለፈው ምዕተ -ዓመት ነው ፣ ዛሬ የባህር ውሃ አኳሪየም ፣ በደማቅ ውሃ እና በደመቀ ባህርይ ተለይተው በሚኖሩበት ንጹህ ውሃ ውስጥ ፣ ልዩ እንክብካቤ አያስፈልገውም።

ደረጃ 1 - ለ Aquarium ክዳን ማድረግ

ለአኳሪየም ክዳን ማድረግ
ለአኳሪየም ክዳን ማድረግ
ለአኳሪየም ክዳን ማድረግ
ለአኳሪየም ክዳን ማድረግ
ለአኳሪየም ክዳን ማድረግ
ለአኳሪየም ክዳን ማድረግ

ለውሃ እና ለኤሌክትሮኒክስ ተስማሚ ባህሪዎች ስላሉት ለ aquarium መጠን ክዳን መሥራት ክዳኑ ከኦርጋኒክ መስታወት የተፈጠረ ነው።

በመጀመሪያ የእኛን የውሃ ማጠራቀሚያ እንለካለን ፣ እና በእነዚህ ልኬቶች መሠረት ክዳን እንፈልሰፋለን ፣ በመጀመሪያ የከዳኑን ግድግዳዎች እንቆርጣለን ፣ ከዚያ በከፍተኛ ሙጫ ሙጫ እና ለተሻለ መረጋጋት በላዩ ላይ በሶዳ ይረጫል። ለወደፊቱ የአየር ማናፈሻ እና አውቶማቲክ መጋቢ ወዲያውኑ ከ 50 ሚሜ በ 50 ሚሜ የሆነ አራት ማዕዘን ቅርፅ ያለው ቀዳዳ እንቆርጣለን።

ደረጃ 2 - አካላትን መተንተን

የመለኪያ አካላት
የመለኪያ አካላት
የመለኪያ አካላት
የመለኪያ አካላት
የመለኪያ አካላት
የመለኪያ አካላት

ለመሙላት እኛ ቀላሉን እና ርካሽ የሆነውን ማይክሮ መቆጣጠሪያውን አርዱዲኖ ሜጋን መርጠናል ፣ እሱ እንደ አጠቃላይ የአሠራር አንጎል ሆኖ ያገለግላል ፣ ከዚያ ሰርቦ ድራይቭ ለራስ -ሰር መጋቢ ጥቅም ላይ ይውላል ፣ እሱም በተራው ቀዳዳ ካለው ሲሊንደር ጋር ይስተካከላል ፣ ለብርሃን እኛ የፕሮግራሙን የ LED ንጣፍ እንወስዳለን እና ለፀሐይ መውጫ እና ለፀሐይ መጥለቂያ መርሃ ግብር እናደርገዋለን ፣ ጎህ ሲቀድ ፣ ብሩህነት ሲነሳ እና ፀሐይ ስትጠልቅ ቀስ በቀስ እየቀነሰ ይሄዳል። ውሃውን ለማሞቅ ፣ መደበኛ የ aquarium የውሃ ማሞቂያ ይውሰዱ እና እሱን በማብራት እና በማጥፋት መረጃን ከሚቀበለው ቅብብል ጋር ያገናኙት ፣ ሙቀቱን ያንብቡ ፣ የሙቀት ዳሳሽ ይጫኑ። ውሃውን ለማቀዝቀዝ ፣ ማራገቢያ ይውሰዱ እና በ aquarium ክዳን ውስጥ ይጫኑት ፣ የሙቀት መጠኑ ከተቀመጠው የሙቀት መጠን በላይ ከሆነ ፣ አድናቂው በቅብብሎሽ በኩል ያበራል። መረጃን በቀላሉ ለማንበብ እና የውሃ ማጠራቀሚያውን ለማቀናበር የ aquarium እሴቶችን ለማዘጋጀት የ LCD ማሳያውን እና አዝራሮቹን ከእሱ ጋር እናገናኛለን። ምግቡ በ aquarium ላይ እንዳይሰራጭ በቋሚነት የሚሠራ እና ለ 5 ደቂቃዎች የሚዘጋ መጭመቂያ ይጫናል።

በ Aliexpress ላይ ያሉትን ሁሉንም ክፍሎች አዝዣለሁ ፣ እዚህ ዝርዝር እና ወደ አካሎች አገናኞች እነሆ-

በ ws2812 ላይ ይመግቡ -

የእውነተኛ ሰዓት ሰዓት Ds3231-

LCD1602 LCD -

4 -ሰርጥ ቅብብል ሞዱል -

DS18b20 የሙቀት ዳሳሽ -

ሞዱል በ IRF520 0-24v -

አዝራሮች -

የ Mega2560 መድረክ ሰሌዳ -

ሰርቪ -

ደረጃ 3 የፕሮጀክት መሣሪያዎች መጫኛ

የፕሮጀክት መሣሪያዎች መጫኛ
የፕሮጀክት መሣሪያዎች መጫኛ
የፕሮጀክት መሣሪያዎች መጫኛ
የፕሮጀክት መሣሪያዎች መጫኛ
የፕሮጀክት መሣሪያዎች መጫኛ
የፕሮጀክት መሣሪያዎች መጫኛ

እኛ ክፍሎቹን ለእኛ ምቹ አድርገን እና በእቅዱ መሠረት እናገናኛቸዋለን ፣ ስዕሎቹን ይመልከቱ።

ቀደም ሲል በተሰበሰበው መያዣ ውስጥ የ ArduinoMega 2560 ማይክሮ መቆጣጠሪያን እንጭናለን። አርዱዲኖ ሜጋ ከዩኤስቢ ወይም ከውጭ የኃይል ምንጭ ሊሠራ ይችላል - የምንጩ ዓይነት በራስ -ሰር ይመረጣል።

ውጫዊው የኃይል ምንጭ (ዩኤስቢ አይደለም) የኤሲ / ዲሲ አስማሚ ወይም ዳግም ሊሞላ የሚችል ባትሪ / ባትሪ ሊሆን ይችላል። አስማሚው መሰኪያ (ዲያሜትር - 2.1 ሚሜ ፣ ማዕከላዊ ግንኙነት - አወንታዊ) በቦርዱ ላይ ባለው ተጓዳኝ የኃይል ማያያዣ ውስጥ ማስገባት አለበት። የባትሪ / የባትሪ ኃይል ካለ ፣ ሽቦዎቹ ከኃይል ማያያዣው Gnd እና Vin ፒኖች ጋር መገናኘት አለባቸው። የውጪው የኃይል አቅርቦት voltage ልቴጅ ከ 6 እስከ 20 ቮ ባለው ክልል ውስጥ ሊሆን ይችላል። ሆኖም ፣ ከ 7 ቮ በታች ያለው የአቅርቦት voltage ልቴጅ መቀነስ በ 5 ቪ ፒን ላይ ያለውን የቮልቴጅ መቀነስ ያስከትላል ፣ ይህም የመሣሪያውን ያልተረጋጋ አሠራር ሊያስከትል ይችላል። ከ 12 ቮ በላይ ቮልቴጅን መጠቀም የቮልቴጅ ተቆጣጣሪውን ከመጠን በላይ ማሞቅ እና በቦርዱ ላይ ጉዳት ሊያስከትል ይችላል. ይህንን ከግምት ውስጥ በማስገባት ከ 7 እስከ 12 ቮ ባለው ክልል ውስጥ ካለው የኃይል አቅርቦት ጋር የኃይል አቅርቦት እንዲጠቀሙ ይመከራል። በ GND እና 5V ፒኖች በኩል 5V የኃይል አቅርቦትን በመጠቀም ኃይልን ከማይክሮ መቆጣጠሪያ ጋር እናገናኛለን። በመቀጠልም ለአየር ማናፈሻ ፣ የውሃ ማሞቂያ እና መጭመቂያ (ምስል 3.1) ቅብብሉን እንጭናለን ፣ እነሱ 3 እውቂያዎች ብቻ አሏቸው ፣ እነሱ ከአርዱዲኖ ጋር እንደሚከተለው ተገናኝተዋል - GND - GND ፣ VCC - + 5V ፣ In - 3. የቅብብሎሽ ግብዓት የተገላቢጦሽ ነው ፣ ስለዚህ ከፍተኛ ደረጃ በ In ውስጥ ሽቦውን ያጠፋል ፣ እና ዝቅተኛ ያበራል።

በመቀጠል ፣ የኤል ሲ ዲ ማሳያውን እና የእውነተኛ ሰዓት የሰዓት ሞዱሉን እንጭናለን ፣ ግንኙነታቸው በስዕላዊ መግለጫው ውስጥ ይታያል።

የ SCL ፒኖች ከአናሎግ 5-ፒን አያያዥ ጋር መገናኘት አለባቸው። የ SDA ፒኖች ከአናሎግ 6-ፒን ሶኬቶች ጋር ይገናኛሉ። የተገኘው ስብሰባ የላይኛው ባቡር እንደ I2C አውቶቡስ ሆኖ ይሠራል ፣ እና የታችኛው ባቡር የኃይል ባቡር ይሆናል። የ LCD እና RTC ሞዱል ከ 5 ቮልት እውቂያዎች ጋር ይገናኛል። የመጨረሻውን ደረጃ ከጨረሱ በኋላ የቴክኒካዊ መዋቅሩ ዝግጁ ይሆናል።

ሰርቪሱን ለማገናኘት ፣ ጸጥ ወዳለ የ servo pulses አንድ IRF520 ትራንዚስተር ተወስዷል ፣ ሰርቪው በትራንዚስተር በኩል ተገናኝቷል ፣ እና ትራንዚስተሩ ራሱ በቀጥታ ከአርዲኖ ጋር ተገናኝቷል።

ለመብራት ፣ WS2812 LED strip ተወሰደ። እኛ + 5V እና GND ፒኖችን ከኃይል አቅርቦቱ መደመር እና መቀነስ ጋር እናገናኘዋለን ፣ ዲንን ከማንኛውም የአርዱዲኖን ፒን ጋር እናገናኘዋለን ፣ በነባሪነት 6 ኛ ዲጂታል ፒን ይሆናል ፣ ግን ሌላ ማንኛውንም መጠቀም ይቻላል (ምስል 3.6). እንዲሁም የአርዲኖን መሬት ከኃይል አቅርቦት መሬት ጋር ማገናኘት ይመከራል። የ + 5 ቪ ውፅዓት የአሁኑን 800mA ብቻ ሊያቀርብ ስለሚችል አርዱዲኖን እንደ የኃይል ምንጭ ለመጠቀም የማይፈለግ ነው። ይህ ከ 13 ፒክሰሎች ያልበለጠ የ LED ስትሪፕ በቂ ነው። በቴፕው በሌላኛው በኩል የዶ ዱ መውጫ አለ ፣ ከሚቀጥለው ቴፕ ጋር ይገናኛል ፣ ይህም ካሴቶቹ እንደ አንድ እንዲቆለሉ ያስችላቸዋል። መጨረሻ ላይ ያለው የኃይል አያያዥ እንዲሁ ተባዝቷል።

በተለምዶ የተከፈተ የስልት ቁልፍን ከአርዲኖ ጋር ለማገናኘት ቀላሉን መንገድ ማድረግ ይችላሉ -የአዝራሩን አንድ ነፃ መሪ ከኃይል ወይም ከመሬት ፣ ሌላውን ከዲጂታል ፒን ጋር ያገናኙ

ደረጃ 4 ዋናዎቹን መለኪያዎች ለመቆጣጠር የቁጥጥር ፕሮግራም ማዘጋጀት

ዋናዎቹን መለኪያዎች ለመቆጣጠር የቁጥጥር መርሃ ግብር ማዘጋጀት
ዋናዎቹን መለኪያዎች ለመቆጣጠር የቁጥጥር መርሃ ግብር ማዘጋጀት
ዋናዎቹን መለኪያዎች ለመቆጣጠር የቁጥጥር መርሃ ግብር ማዘጋጀት
ዋናዎቹን መለኪያዎች ለመቆጣጠር የቁጥጥር መርሃ ግብር ማዘጋጀት
ዋናዎቹን መለኪያዎች ለመቆጣጠር የቁጥጥር መርሃ ግብር ማዘጋጀት
ዋናዎቹን መለኪያዎች ለመቆጣጠር የቁጥጥር መርሃ ግብር ማዘጋጀት

ለፕሮግራሙ ንድፉን ያውርዱ

በኢንዱስትሪያዊ ተቆጣጣሪ መርሃ ግብር መስክ ውስጥ ደረጃውን የጠበቀ FBD እና LAD ግራፊክ ቋንቋዎችን በመጠቀም አርዱዲኖ።

የ FBD ቋንቋ መግለጫ

FBD (ተግባር አግድ ዲያግራም) የ IEC 61131-3 ደረጃ ግራፊክ የፕሮግራም ቋንቋ ነው። ፕሮግራሙ ከላይ እስከ ታች በቅደም ተከተል ከተፈጸሙ ወረዳዎች ዝርዝር ውስጥ ተሠርቷል። በፕሮግራም ጊዜ ፣ የቤተ መፃህፍት ብሎኮች ስብስቦች ጥቅም ላይ ይውላሉ። ማገጃ (ኤለመንት) ንዑስ ተግባር ፣ ተግባር ወይም የተግባር ማገጃ (እና ፣ ወይም ፣ አይደለም ፣ ቀስቅሴዎች ፣ ሰዓት ቆጣሪዎች ፣ ቆጣሪዎች ፣ የአናሎግ የምልክት ማቀነባበሪያ ብሎኮች ፣ የሂሳብ አሠራሮች ፣ ወዘተ) ነው። እያንዳንዱ የግለሰብ ሰንሰለት ከግለሰባዊ አካላት በግራፊክ የተቀናበረ መግለጫ ነው። ቀጣዩ እገዳ ከማገጃው ውጤት ጋር ተገናኝቷል ፣ ሰንሰለት ይፈጥራል። በሰንሰለቱ ውስጥ ብሎኮች በግንኙነታቸው ቅደም ተከተል በጥብቅ ይገደላሉ። የወረዳው ስሌት ውጤት ለውስጣዊ ተለዋዋጭ የተፃፈ ወይም ለተቆጣጣሪው ውፅዓት የሚሰጥ ነው።

የ LAD ቋንቋ መግለጫ

መሰላል ዲያግራም (ኤልዲ ፣ ላድ ፣ አርኬኤስ) ቅብብል (መሰላል) አመክንዮ ቋንቋ ነው። በቅብብሎሽ ቴክኖሎጂ ላይ የተሰሩ የሎጂክ ወረዳዎችን ለመተካት የቋንቋው አገባብ ምቹ ነው። ቋንቋው በኢንዱስትሪ ፋብሪካዎች ውስጥ በሚሠሩ አውቶማቲክ መሐንዲሶች ላይ ያነጣጠረ ነው። ለተቆጣጣሪው አመክንዮ የሚታወቅ በይነገጽ ይሰጣል ፣ ይህም የፕሮግራም እና ተልእኮ ተግባሮችን ብቻ ሳይሆን ከመቆጣጠሪያው ጋር በተገናኘው መሣሪያ ውስጥ ፈጣን መላ መፈለግን ያመቻቻል። የቅብብሎሽ አመክንዮ መርሃ ግብር ክፍት እና የተዘጉ እውቂያዎች ያሉት እንደ ኤሌክትሪክ ዑደት ያሉ የሎጂክ ሥራዎችን የሚወክል ለኤሌክትሪክ መሐንዲሶች አስተዋይ እና አስተዋይ የሆነ የግራፊክ በይነገጽ አለው። በዚህ ወረዳ ውስጥ የአሁኑ ፍሰት ወይም መቅረት ከሎጂካዊ አሠራር ውጤት ጋር ይዛመዳል (እውነት - የአሁኑ ፍሰት ከፈሰሰ ፣ ሐሰት - የአሁኑ ፍሰት ከሌለ)። የቋንቋው ዋና ዋና አካላት እውቂያዎች ናቸው ፣ በምሳሌያዊነት ከቅብብሎሽ እውቂያዎች ወይም አንድ አዝራር ጋር ሊመሳሰሉ ይችላሉ። አንድ ጥንድ እውቂያዎች በቡሊያን ተለዋዋጭ ተለይተው ይታወቃሉ ፣ እና የዚህ ጥንድ ሁኔታ ከተለዋዋጭ እሴት ጋር ተለይቷል። በመደበኛ ዝግ እና በመደበኛ ክፍት የእውቂያ አካላት መካከል ልዩነት ይደረጋል ፣ ይህም በኤሌክትሪክ ወረዳዎች ውስጥ በተለምዶ ከተዘጉ እና በተለምዶ ከተከፈቱ ቁልፎች ጋር ሊወዳደር ይችላል።

በ FLProg ውስጥ ያለ ፕሮጀክት የቦርዶች ስብስብ ነው ፣ በእያንዳንዱ ላይ የአጠቃላይ ወረዳው የተሟላ ሞጁል ተሰብስቧል። ለምቾት ፣ እያንዳንዱ ሰሌዳ ስም እና አስተያየት አለው። እንዲሁም እያንዳንዱ ሰሌዳ ሊወድቅ ይችላል (ሥራው ሲጠናቀቅ በስራ ቦታ ላይ ቦታን ለመቆጠብ) ፣ እና ሊሰፋ ይችላል። በቦርዱ ስም ውስጥ አንድ ቀይ LED በቦርዱ ንድፍ ውስጥ ስህተቶች መኖራቸውን ያመለክታል።

የእያንዳንዱ ቦርድ ወረዳ በተቆጣጣሪው አመክንዮ መሠረት ከተግባራዊ ብሎኮች ተሰብስቧል። አብዛኛዎቹ የተግባር ማገጃዎች የሚስተካከሉ ናቸው ፣ በእነሱ እርዳታ በዚህ ጉዳይ ላይ ባለው መስፈርቶች መሠረት ብጁ ሊደረግ ይችላል።

እንዲሁም ለእያንዳንዱ ተግባራዊ ብሎክ በማንኛውም ጊዜ የሚገኝ እና አሠራሩን እና ቅንብሮቹን ለመረዳት የሚረዳ ዝርዝር መግለጫ አለ።

ከፕሮግራሙ ጋር በሚሰሩበት ጊዜ ተጠቃሚው የመፃፍ ኮድ አያስፈልገውም ፣ የግብዓቶችን እና የውጤቶችን አጠቃቀም ይቆጣጠራል ፣ የስሞችን ልዩነት እና የውሂብ ዓይነቶችን ወጥነት ይፈትሹ። ፕሮግራሙ ይህንን ሁሉ ይከታተላል። እሷም የጠቅላላው ፕሮጀክት ትክክለኛነት ትፈትሽና ስህተቶች መኖራቸውን ይጠቁማል።

ከውጭ መሣሪያዎች ጋር ለመስራት በርካታ ረዳት መሣሪያዎች ተፈጥረዋል። ይህ የእውነተኛ ሰዓት ሰዓትን ለማስነሳት እና ለማቀናበር ፣ በ OneWire እና I2C አውቶቡሶች ላይ የመሣሪያ አድራሻዎችን ለማንበብ መሣሪያዎች እንዲሁም በ IR የርቀት መቆጣጠሪያ ላይ የአዝራር ኮዶችን ለማንበብ እና ለማዳን የሚያስችል መሣሪያ ነው። ሁሉም የተወሰኑ መረጃዎች እንደ ፋይል ሊቀመጡ እና በኋላ በፕሮግራሙ ውስጥ ጥቅም ላይ ሊውሉ ይችላሉ።

ፕሮጀክቱን ለመተግበር የሚከተለው የ servo actuation መርሃ ግብር ለገዢው እና ለተቆጣጣሪው ተፈጥሯል።

የመጀመሪያው አግድ “MenuValue” ስለ servo ድራይቭ ሁኔታ በኤልሲዲ ማሳያ ላይ መረጃን ለማሳየት መረጃን ወደ ምናሌ ብሎክ ያዛውራል።

ለወደፊቱ ፣ ምክንያታዊው ክዋኔ “AND” የበለጠ እንዲሄዱ ወይም በንፅፅር አሃድ “I1 == I2” ፣ ማለትም ቅድመ-ቁጥሩ 8 በእውነተኛ ሰዓት የሰዓት ሞዱል ላይ ፣ ከዚያ servo ጋር ተመሳሳይ ይሆናል በመቀስቀሻ በኩል በርቷል ፣ በተመሳሳይ መንገድ ሰርቪው በ 20 00 ላይ እንዲሠራ ተደርጓል።

በአንድ አዝራር በኩል ሰርቨርን ለማብራት ምቾት ፣ ቀስቅሴ አመክንዮ ተግባር ተወስዶ የአዝራሩ ቁጥር 4 ለእሱ የታሰበ ነበር ፣ ወይም ስለ አገልጋዩ እርጋታ መረጃ ወደ ምናሌ እገዳው መረጃ ላይ መረጃን ለማሳየት ኤልሲዲ ማሳያ።

አገልጋዩ እንዲሠራ አንድ ምልክት ከታየ ፣ ከዚያ እሱ “ቀይር” ወደሚለው ብሎክ ይሄዳል እና በተወሰነ ማዕዘን የመንጃውን አዙሮ ይሠራል እና በ “ዳግም አስጀምር” ብሎክ በኩል ወደ መጀመሪያው ደረጃ ይሄዳል።

የ servo actuation ዝርዝር።

መጭመቂያው ሁል ጊዜ በርቷል እና ከሪሌው ጋር ይገናኛል ፣ በ “ሰርቮ በር” ብሎክ በኩል ምልክት ሲመጣ ፣ ከዚያ ወደ “TOF” ሰዓት ቆጣሪ ብሎክ ሄዶ ለ 15 ደቂቃዎች ቅብብልን ያጠፋል እና ስለ ቅብብሎሹ ሁኔታ መረጃ ያስተላልፋል። በምናሌው ውስጥ።

የሙቀት መቆጣጠሪያ ዝርዝር።

በቤተ -መጽሐፍት በኩል የሙቀት ዳሳሹን ያገናኙ

የሚመከር: