ዝርዝር ሁኔታ:

AVR ማይክሮ መቆጣጠሪያ Fuse ቢት ውቅር። በማይክሮ መቆጣጠሪያ መቆጣጠሪያ ፍላሽ ማህደረ ትውስታ ውስጥ የ LED ብልጭ ድርግምተኛ መርሃ ግብር መፍጠር እና መስቀል። 5 ደረጃዎች
AVR ማይክሮ መቆጣጠሪያ Fuse ቢት ውቅር። በማይክሮ መቆጣጠሪያ መቆጣጠሪያ ፍላሽ ማህደረ ትውስታ ውስጥ የ LED ብልጭ ድርግምተኛ መርሃ ግብር መፍጠር እና መስቀል። 5 ደረጃዎች

ቪዲዮ: AVR ማይክሮ መቆጣጠሪያ Fuse ቢት ውቅር። በማይክሮ መቆጣጠሪያ መቆጣጠሪያ ፍላሽ ማህደረ ትውስታ ውስጥ የ LED ብልጭ ድርግምተኛ መርሃ ግብር መፍጠር እና መስቀል። 5 ደረጃዎች

ቪዲዮ: AVR ማይክሮ መቆጣጠሪያ Fuse ቢት ውቅር። በማይክሮ መቆጣጠሪያ መቆጣጠሪያ ፍላሽ ማህደረ ትውስታ ውስጥ የ LED ብልጭ ድርግምተኛ መርሃ ግብር መፍጠር እና መስቀል። 5 ደረጃዎች
ቪዲዮ: Как записывать и читать данные в «микроконтроллере PIC» 2024, ሰኔ
Anonim
Image
Image

በዚህ ሁኔታ እኛ በ C ኮድ ውስጥ ቀለል ያለ ፕሮግራም እንፈጥራለን እና በማይክሮ መቆጣጠሪያው ማህደረ ትውስታ ውስጥ እናቃጥለዋለን። የአትሜል ስቱዲዮን እንደ የተቀናጀ የልማት መድረክ በመጠቀም የራሳችንን ፕሮግራም እንጽፋለን እና የሄክሱን ፋይል እናጠናቅቃለን። የራሳችንን ፕሮግራም አውጪ እና ሶፍትዌር AVRDUDE ን በመጠቀም የፊውዝ ቢት እናዋቅራለን እና የሄክስ ፋይልን ወደ AVR ATMega328P ማይክሮ መቆጣጠሪያ ማህደረ ትውስታ እናስገባለን።

AVRDUDE - የአትሜልን AVR ማይክሮ መቆጣጠሪያዎችን ቺፕ ትዝታዎችን ለማውረድ እና ለመስቀል ፕሮግራም ነው። እሱ ፍላሽ እና EEPROM ን መርሃግብር ማድረግ ይችላል ፣ እና በተከታታይ የፕሮግራም ፕሮቶኮል በሚደገፍበት ቦታ ፊውዝ እና ቢት መቆለፍ ይችላል።

ደረጃ 1 የአትሜል ስቱዲዮን በመጠቀም የጽሑፍ ፕሮግራም እና የሄክስ ፋይልን ያጠናቅቁ

የአትሜል ስቱዲዮን በመጠቀም የጽሑፍ ፕሮግራም እና የሄክስ ፋይልን ያጠናቅቁ
የአትሜል ስቱዲዮን በመጠቀም የጽሑፍ ፕሮግራም እና የሄክስ ፋይልን ያጠናቅቁ
የአትሜል ስቱዲዮን በመጠቀም የጽሑፍ ፕሮግራም እና የሄክስ ፋይልን ያጠናቅቁ
የአትሜል ስቱዲዮን በመጠቀም የጽሑፍ ፕሮግራም እና የሄክስ ፋይልን ያጠናቅቁ

አትሜል ስቱዲዮ ከሌለዎት ማውረድ እና መጫን አለብዎት

ይህ ፕሮጀክት ሲ ይጠቀማል ፣ ስለዚህ ባዶ አጥንት የሚተገበር ፕሮጀክት ለማመንጨት የ GCC ሲ አስፈፃሚ ፕሮጀክት አማራጭን ከአብነት ዝርዝር ውስጥ ይምረጡ።

በመቀጠልም ፕሮጀክቱ የሚዘጋጅበትን መሣሪያ መግለፅ ያስፈልጋል። ይህ ፕሮጀክት ለ AVR ATMega328P ማይክሮ መቆጣጠሪያ ይዘጋጃል።

በአትሜል ስቱዲዮ ዋና ምንጭ አርታኢ አካባቢ የፕሮግራሙን ኮድ ይተይቡ። ዋናው ምንጭ አርታዒ - ይህ መስኮት በአሁኑ ፕሮጀክት ውስጥ ለምንጩ ፋይሎች ዋና አርታዒ ነው። አርታኢው የፊደል ፍተሻ እና ራስ -ሰር የተሟላ ባህሪዎች አሉት።

1. ቺፕችን በምን ያህል ፍጥነት እየሄደ እንደሆነ መዘግየትን በትክክል ማስላት እንደሚችል ለኮምፒዩተሩ መንገር አለብን።

#ifndef F_CPU

#ጥራት F_CPU 16000000UL // ለተቆጣጣሪ ክሪስታል ድግግሞሽ (16 ሜኸ AVR ATMega328P) መንገር #endif

2. እኛ ዓለም አቀፋዊ ተለዋዋጮችን እና ተግባሮችን የሚገልጽ መረጃን ከሌሎች ፋይሎች የምናስቀምጥበትን ቅድመ -መግቢያውን እናካተታለን።

በፒን ላይ የውሂብ ፍሰት ቁጥጥርን ለማንቃት #አርዕስት /አርዕስት። ፒኖችን ፣ ወደቦችን ፣ ወዘተ ይገልጻል።

በፕሮግራሙ ውስጥ የመዘግየት ተግባርን ለማንቃት #አርዕስት /ያካትቱ

3. ከመቅድሙ በኋላ ዋናው () ተግባር ይመጣል።

int main (ባዶ) {

ዋናው () ተግባር ልዩ እና ከሌሎች ተግባራት ሁሉ የተለየ ነው። እያንዳንዱ ሲ ፕሮግራም በትክክል አንድ ዋና () ተግባር ሊኖረው ይገባል። ዋናው () ኃይሉ መጀመሪያ ሲበራ AVR የእርስዎን ኮድ መፈጸም የሚጀምርበት ነው ፣ ስለሆነም የፕሮግራሙ መግቢያ ነጥብ ነው።

4. እንደ PORTB ፒን 0 ን እንደ ውፅዓት ያዘጋጁ።

DDRB = 0b00000001; // PORTB1 ን እንደ ውፅዓት ያዘጋጁ

ይህንን የምናደርገው የሁለትዮሽ ቁጥርን ወደ የውሂብ አቅጣጫ መመዝገቢያ ቢ በመጻፍ ነው። የውሂብ አቅጣጫ መመዝገቢያ ለ የመመዝገቢያ ቢ ግቤትን ወይም የውጤት ነጥቦችን እንድናደርግ ያስችለናል። 1 ን መፃፍ እነሱን ያስወጣቸዋል ፣ 0 ደግሞ ግብዓት ያደርጋቸዋል። እንደ ውፅዓት ለመሥራት ኤልኢዲ እያያያዝን መሆናችን ፣ የ PORT ቢ ፒን 0 እንደ ውጤት እንዲሆን የሁለትዮሽ ቁጥርን እንጽፋለን።

5. Loop.

(1) {

ይህ መግለጫ loop ነው ፣ ብዙውን ጊዜ እንደ ዋናው loop ወይም የክስተት ዑደት ተብሎ ይጠራል። ይህ ኮድ ሁልጊዜ እውነት ነው; ስለዚህ ፣ ማለቂያ በሌለው ሉፕ ውስጥ ደጋግሞ ይሠራል። መቼም አያልቅም። ስለዚህ ኃይል ከማይክሮ መቆጣጠሪያው ካልተዘጋ ወይም ኮዱ ከፕሮግራሙ ማህደረ ትውስታ እስካልተሰረዘ ድረስ ኤልኢዲ ማለቂያ በሌለው ብልጭ ድርግም ይላል።

6. ከወደብ PB0 ጋር የተያያዘውን ኤልኢዲ አብራ

PORTB = 0b00000001; // ወደብ PB0 ላይ ተያይዞ LED ን ያበራል

ይህ መስመር ፣ 1 ለ PortB PB0 ይሰጣል። PORTB ከግራ ወደ ቀኝ የሚሄድ 8 ፒኖችን ፣ PB7-PB0 ን የያዘ በ AVR ቺፕ ላይ የሃርድዌር መዝገብ ነው። መጨረሻ ላይ 1 ማስቀመጥ 1 ለ PB0 ይሰጣል። ይህ ያበራል PB0 ን ከፍ ያደርገዋል። ስለዚህ ፣ ከፒን PB0 ጋር የተያያዘው ኤልኢዲ ያበራል እና ያበራል።

7. መዘግየት

_ መዘግየት_ኤምኤስ (1000); // የ 1 ሰከንድ መዘግየት ይፈጥራል

ይህ መግለጫ የ 1 ሰከንድ መዘግየት ይፈጥራል ፣ ስለዚህ ኤልኢዲው እንዲዞር እና በትክክል ለ 1 ሰከንድ እንዲቆይ።

8. PB0 ን ጨምሮ ሁሉንም ቢ ፒኖች ያጥፉ

PORTB = 0b00000000; // PB0 ን ጨምሮ ሁሉንም ቢ ፒዎችን ያጠፋል

ይህ መስመር ሁሉንም 8 ፖርት ቢ ፒን ያጠፋል ፣ ስለዚህ PB0 እንኳን ጠፍቷል ፣ ስለዚህ ኤልኢዲ ይጠፋል።

9. ሌላ መዘግየት

_ መዘግየት_ኤምኤስ (1000); // ሌላ የ 1 ሰከንድ መዘግየት ይፈጥራል

ዑደቱን እንደገና ከመጀመርዎ በፊት እና መስመሩን ከማጋጠሙ በፊት ሂደቱን ለ 1 ሴኮንድ በትክክል ያጠፋል ፣ ይህም ሂደቱን እንደገና ይደግማል። LED ያለማቋረጥ ብልጭ ድርግም እንዲል ይህ ያለገደብ ይከሰታል።

10. የመመለሻ መግለጫ

}

መመለስ (0); // ይህ መስመር በጭራሽ አልደረሰም}

የእኛ ኮድ የመጨረሻው መስመር የመመለሻ (0) መግለጫ ነው። በዴስክቶፕ ኮምፒተሮች ላይ ለሚሠሩ ፕሮግራሞቻችን ይህ ኮድ በጭራሽ ባይተገበርም ፣ ማለቂያ የሌለው ማለቂያ የሌለው ዙር ስለሌለ ፣ በትክክል መሮጡን ወይም አለመሄዱን ለኦፕሬቲንግ ሲስተሙ አስፈላጊ ነው። በዚህ ምክንያት ፣ የእኛ አጠናቃሪ ጂሲሲ እያንዳንዱ ዋና () በመመለሻ ኮድ እንዲያበቃ ይፈልጋል። የመመለስ ኮዶች ከማንኛውም ደጋፊ ስርዓተ ክወና ነፃነትን ለሚያስኬደው ለ AVR ኮድ አስፈላጊ አይደሉም። ሆኖም ፣ ዋናውን በመመለስ () ካላጠናቀቁ አጠናቃሪው ማስጠንቀቂያ ይነሳል።

የመጨረሻው ደረጃ የፕሮጀክቱ ግንባታ ነው። ተፈፃሚውን ፋይል (.hex) ፋይል ለማመንጨት ሁሉንም የነገር ፋይሎችን ማጠናቀር እና ማገናኘት ማለት ነው። ይህ የሄክስ ፋይል የሚመነጨው በፕሮጀክቱ አቃፊ ውስጥ ባለው አቃፊ ውስጥ አርም ውስጥ ነው። ይህ የሄክስ ፋይል ወደ ማይክሮ መቆጣጠሪያ ቺፕ ውስጥ ለመጫን ዝግጁ ነው።

ደረጃ 2 የማይክሮ መቆጣጠሪያ ፊውዝ ቢት ነባሪ ውቅር መለወጥ

የማይክሮ መቆጣጠሪያ ፊውዝ ቢት ነባሪ ውቅር መለወጥ
የማይክሮ መቆጣጠሪያ ፊውዝ ቢት ነባሪ ውቅር መለወጥ
የማይክሮ መቆጣጠሪያ ፊውዝ ቢት ነባሪ ውቅር መለወጥ
የማይክሮ መቆጣጠሪያ ፊውዝ ቢት ነባሪ ውቅር መለወጥ
የማይክሮ መቆጣጠሪያ ፊውዝ ቢት ነባሪ ውቅር መለወጥ
የማይክሮ መቆጣጠሪያ ፊውዝ ቢት ነባሪ ውቅር መለወጥ

አንዳንድ የፊውዝ ቢቶች አንዳንድ የቺ chipን ገጽታዎች ለመቆለፍ ሊያገለግሉ እና ጡብ ሊሠሩበት እንደሚችሉ (የማይጠቅም እንዲሆን) ማስታወሱ አስፈላጊ ነው።

በ ATmega328P ውስጥ ጥቅም ላይ የሚውሉ በአጠቃላይ 19 የፊውዝ ቢቶች አሉ ፣ እነሱም በሦስት የተለያዩ የፊውዝ ባይት ተለያይተዋል። ሦስቱ የፊውዝ ቢቶች በ ‹ኤክስቴንሽን ፊውዝ ባይት› ውስጥ ፣ ስምንት በ ‹ፊውዝ ከፍተኛ ባይት› ውስጥ የተካተቱ ሲሆን ስምንት ተጨማሪ በ ‹ፊውዝ ዝቅተኛ ባይት› ውስጥ ይገኛሉ። እንዲሁም የመቆለፊያ ክፍተቶችን በፕሮግራም ለማገልገል የሚያገለግል ወደ ፊት ባይት አለ።

እያንዳንዱ ባይት 8 ቢት ሲሆን እያንዳንዱ ቢት የተለየ ቅንብር ወይም ባንዲራ ነው። እኛ ስለማዘጋጀት ፣ ስለማዋቀር ፣ ስለተሠራ ፣ ስለፕሮግራም ያልተሠሩ ፊውዝ ስንነጋገር እኛ በእርግጥ ሁለትዮሽ እንጠቀማለን። 1 ማለት አልተዋቀረም ፣ በፕሮግራም አልተሰራም እና ዜሮ ማለት ስብስብ ፣ ፕሮግራም የተደረገበት ማለት ነው። ፊውዝዎችን በሚያዘጋጁበት ጊዜ የሁለትዮሽ ማስታወሻ ወይም በተለምዶ ሄክሳዴሲማል ምልክት መጠቀም ይችላሉ።

ATmega 328P ቺፕስ የ 8 ሜኸ ድግግሞሽ ያለው በ RC oscillator ውስጥ ተገንብቷል። አዲስ ቺፕስ በዚህ ስብስብ እንደ የሰዓት ምንጭ እና የ CKDIV8 ፊውዝ ገባሪ ሆኖ ይላካሉ ፣ በዚህም 1 ሜኸ የስርዓት ሰዓት ያስከትላል። የመነሻ ጊዜው ወደ ከፍተኛ እና የጊዜ ማብቂያ ጊዜ ነቅቷል።

አዲስ ATMega 328P ቺፕስ በአጠቃላይ የሚከተሉት የፊውዝ ቅንብሮች አሏቸው

ዝቅተኛ ፊውዝ = 0x62 (0b01100010)

ከፍተኛ ፊውዝ = 0xD9 (0b11011001)

የተራዘመ ፊውዝ = 0xFF (0b11111111)

ATmega 328 ቺፕን ከውጭ 16 ሜኸ ክሪስታል ጋር እንጠቀማለን። ስለዚህ በዚህ መሠረት የ “ፊውዝ ዝቅተኛ ባይት” ቁርጥራጮችን ማዘጋጀት አለብን።

1. ቢትስ 3-0 የ oscillator ምርጫን ይቆጣጠራል ፣ እና የ 0010 ነባሪ ቅንብር እኛ የማንፈልገውን የመለኪያ ውስጣዊ አርሲ ማወዛወዝን መጠቀም ነው። ከ 8.0 እስከ 16.0 ሜኸዝ ዝቅተኛ ኃይል ያለው ክሪስታል ኦፕሬተር አሠራር እንፈልጋለን ፣ ስለዚህ ቢት 3-1 (CKSEL [3: 1]) ወደ 111 መዋቀር አለበት።

2. ቢት 5 እና 4 የመነሻ ሰዓቱን ይቆጣጠራሉ ፣ እና የ 10 ነባሪ ቅንብር ከስድስት ሰዓት ዑደቶች ከኃይል-ወደታች እና ከኃይል ቁጠባ ፣ እንዲሁም የ 14 ሰዓት ዑደቶች ተጨማሪ የማስነሻ መዘግየት እና ከዳግም ማስጀመሪያ 65 ሚሊሰከንዶች ነው።

ለዝቅተኛ ኃይል ክሪስታል ማወዛወዝ በአስተማማኝ ሁኔታ ላይ ለመሆን ፣ ከኃይል መውረድ እና ከኃይል ቁጠባ 16,000 ሰዓት ዑደቶች የሚቻለውን ከፍተኛ መዘግየት እንፈልጋለን ፣ ስለዚህ SUT [1] ወደ 1 ፣ እንዲሁም ተጨማሪ የማስነሻ መዘግየት መዘጋጀት አለበት። ከ 14 ሰዓት ዑደቶች በተጨማሪ 65 ሚሊሰከንዶች ዳግም ከተጀመሩ ፣ ስለዚህ SUT [0] ወደ 1. መዋቀር አለበት። በተጨማሪም ፣ CKSEL [0] ወደ 1 መዋቀር አለበት።

3. ቢት 6 እኛ ግድ የለንም ወደ PORTB0 የሰዓት ውፅዓት ይቆጣጠራል። ስለዚህ ፣ ቢት 6 ወደ 1 እንደተዋቀረ ሊተው ይችላል።

4. ቢት 7 የመከፋፈያ በ 8 ክዋኔን ይቆጣጠራል እና የ 0 ነባሪ ቅንብር እኛ የማንፈልገውን ባህሪ ነቅቷል። ስለዚህ ፣ ቢት 7 ከ 0 ወደ 1 መለወጥ አለበት።

ስለዚህ ፣ አዲሱ Fuse Low Byte 11111111 መሆን አለበት ፣ ይህም በሄክሳዴሲማል ደረጃ 0xFF ነው።

የ “ፊውዝ ዝቅተኛ ባይት” ፕሮግራሞችን ፕሮግራማችንን (https://www.instructables.com/id/ISP-Programmer-fo…) እና ሶፍትዌር AVRDUDE ን መጠቀም እንችላለን። AVRDUDE ከአትሜል ማይክሮ መቆጣጠሪያዎችን ለማውረድ እና ለመስቀል የሚያገለግል የትእዛዝ-መስመር መገልገያ ነው።

AVRDUDE ን ያውርዱ

በመጀመሪያ ፣ የእኛን የፕሮግራም አዘጋጅ በ AVRDUDE ውቅረት ፋይል ላይ ማከል አለብን። በዊንዶውስ ላይ የማዋቀሪያ ፋይሉ እንደ AVRDUDE አስፈፃሚ ፋይል በተመሳሳይ ቦታ ላይ ይገኛል።

በ avrdude.conf ውቅር ፋይል ውስጥ ጽሑፉን ይለፉ

# ISPProgv1

የፕሮግራም አድራጊ መታወቂያ = "ISPProgv1"; desc = "የተከታታይ ወደብ መምታት ፣ ዳግም ማስጀመር = dtr sck = rts mosi = txd miso = cts"; ዓይነት = "serbb"; connection_type = ተከታታይ; ዳግም አስጀምር = 4; sck = 7; ሞሲ = 3; ሚሶ = 8;;

AVRDUDE ን ከመጀመራችን በፊት በመርሃግብሩ መሠረት ማይክሮ መቆጣጠሪያውን ከፕሮግራም አድራጊው ጋር ማገናኘት አለብን።

የ DOS ጥያቄን መስኮት ይክፈቱ።

1. avrdude የሚደገፍ የፕሮግራም ሰሪ ዝርዝርን ለማየት ትዕዛዙ ዓይነት ትዕዛዝ avrdude -c ሐ. ሁሉም ነገር ደህና ከሆነ ፣ ዝርዝሩ የፕሮግራም ሰሪ መታወቂያ “ISPProgv1” ሊኖረው ይገባል።

2. avrdude የሚደገፍ የአቴሜል መሣሪያዎችን ዝርዝር ለማየት የአይነት ትዕዛዝ avrdude -c ISPProgv1 ን ለማየት። ዝርዝሩ ለ Atmel ATMega 328P መሣሪያ m328p ሊኖረው ይገባል።

በመቀጠል ፣ avrdude -c ISPProgv1 –p m328p ብለው ይተይቡ ፣ ትዕዛዙ ለፕሮግራሙ ባለሙያው ምን እየተጠቀመ እንደሆነ እና የአቴሜል ማይክሮ መቆጣጠሪያ ምን እንደተያያዘ ለ avrdude ይነግረዋል። የ ATmega328P ፊርማ በሄክሳዴሲማል ምልክት 0x1e950f ውስጥ ያቀርባል። እሱ በአሁኑ ጊዜ በ ATmega328P ውስጥ የፊውዝ ቢት ፕሮግራምን በሄክሳዴሲማል ደረጃም ያቀርባል ፣ በዚህ ሁኔታ ፣ ፊውዝ ባይቶች በፋብሪካ ነባሪ ፕሮግራም ይደረጋሉ።

በመቀጠልም avrdude -c ISPProgv1 –p m328p –U lfuse: w: 0xFF: m ፣ ፕሮግራም አድራጊው ምን እየተጠቀመ እንደሆነ እና የአቴሜል ማይክሮ መቆጣጠሪያ ምን እንደተያያዘ avrdude መንገር እና Fuse Low Byte ን ወደ 0xFF ለመቀየር ትእዛዝ ነው።

አሁን የሰዓት ምልክቱ ከዝቅተኛ ኃይል ክሪስታል oscillator መምጣት አለበት።

ደረጃ 3: ፕሮግራሙን በ ATMega328P ማይክሮ መቆጣጠሪያ ውስጥ ማህደረ ትውስታ ውስጥ ማቃጠል

በኤቲኤምኤም 328 ፒ ማይክሮ መቆጣጠሪያ ማህደረ ትውስታ ውስጥ ፕሮግራሙን ማቃጠል
በኤቲኤምኤም 328 ፒ ማይክሮ መቆጣጠሪያ ማህደረ ትውስታ ውስጥ ፕሮግራሙን ማቃጠል
በኤቲኤምኤም 328 ፒ ማይክሮ መቆጣጠሪያ ውስጥ ፕሮግራሙን ማቃጠል
በኤቲኤምኤም 328 ፒ ማይክሮ መቆጣጠሪያ ውስጥ ፕሮግራሙን ማቃጠል

በመጀመሪያ ፣ በትምህርቱ መጀመሪያ ላይ ያደረግነውን የሄክስ ፋይል ፋይል ወደ AVRDUDE ማውጫ ይቅዱ።

ከዚያ በ “DOS” መስኮት ውስጥ ትዕዛዙ avrdude –c ISPProgv1 –p m328p –u –U ፍላሽ: w: [የሄክስ ፋይልዎ ስም]

ትዕዛዙ የሄክስ ፋይልን ወደ ማይክሮ መቆጣጠሪያው ማህደረ ትውስታ ይጽፋል። አሁን ማይክሮ መቆጣጠሪያው በፕሮግራማችን መመሪያ መሠረት ይሠራል። እስቲ እንፈትሽ!

ደረጃ 4: ከፕሮግራማችን መመሪያዎች ጋር በሚስማማ መልኩ የማይክሮ መቆጣጠሪያ ሥራዎችን ይፈትሹ

ቼክ የማይክሮ መቆጣጠሪያ ሥራ ከፕሮግራማችን መመሪያዎች ጋር በሚስማማ መልኩ ይሠራል
ቼክ የማይክሮ መቆጣጠሪያ ሥራ ከፕሮግራማችን መመሪያዎች ጋር በሚስማማ መልኩ ይሠራል
ቼክ የማይክሮ መቆጣጠሪያ ሥራ ከፕሮግራማችን መመሪያዎች ጋር በሚስማማ መልኩ ይሠራል
ቼክ የማይክሮ መቆጣጠሪያ ሥራ ከፕሮግራማችን መመሪያዎች ጋር በሚስማማ መልኩ ይሠራል
ቼክ የማይክሮ መቆጣጠሪያ ሥራ ከፕሮግራማችን መመሪያዎች ጋር በሚስማማ መልኩ ይሠራል
ቼክ የማይክሮ መቆጣጠሪያ ሥራ ከፕሮግራማችን መመሪያዎች ጋር በሚስማማ መልኩ ይሠራል

በኤአርአይ ብልጭ ድርግም በሚለው የ LED ወረዳ መርሃግብር መሠረት አካላትን ያገናኙ።

በመጀመሪያ ፣ ሁሉም የ AVR ወረዳዎች እንደሚያደርጉት ኃይል እንፈልጋለን። ለ AVR ቺፕ ሥራ ወደ 5 ቮልት ኃይል በቂ ነው። ይህንን ከባትሪዎች ወይም ከዲሲ የኃይል አቅርቦት ማግኘት ይችላሉ። ከ 7 ፒን ኃይል +5 ቮን እናገናኛለን እና በዳቦ ሰሌዳው ላይ ፒን 8 ን ከመሬት ጋር እናገናኛለን። በሁለቱም ፒኖች መካከል የኤቪአር ቺፕ ለስላሳ የኤሌክትሪክ መስመር እንዲያገኝ የኃይል አቅርቦቱን ኃይል ለማለስለስ 0.1μF ሴራሚክ capacitor እናስቀምጣለን።

10KΩ resistor ለመሣሪያው ኃይልን ዳግም ማስጀመር (POR) ለማቅረብ ያገለግላል። ኃይሉ በርቶ ሲበራ ፣ በ capacitor ላይ ያለው voltage ልቴጅ ዜሮ ይሆናል ስለዚህ መሣሪያው ዳግም ያስጀምራል (ዳግም ማስጀመር ንቁ ስለሆነ) ፣ ከዚያ capacitor ወደ ቪሲሲ ያስከፍላል እና ዳግም ማስጀመር ይሰናከላል።

የእኛን ኤልኢኖን ከኤ.ቪ.ር ፒን PB0 ጋር እናገናኘዋለን። ይህ የ ATMega328P ፒን 14 ነው። እሱ LED ስለሆነ ፣ እንዳይቃጠል የአሁኑን ወደ LED የሚፈስበትን መገደብ እንፈልጋለን። ለዚህም ነው 330Ω resistor ን ከ LED ጋር በተከታታይ የምናስቀምጠው። የ LED ካቶድ ከመሬት ጋር ይገናኛል።

16 ሜኸ ክሪስታል ለአትሜጋ 328 ማይክሮ መቆጣጠሪያ እና 22pF capacitors የክሪስታልን አሠራር ለማረጋጋት ሰዓት ለመስጠት ያገለግላል።

LED ን ለማብራት እነዚህ ሁሉም ግንኙነቶች አስፈላጊ ናቸው። ገቢ ኤሌክትሪክ.

እሺ። LED በአንድ ሰከንድ መዘግየት ብልጭ ድርግም ይላል። የማይክሮ መቆጣጠሪያው ሥራ ከእኛ ተግባራት ጋር ይዛመዳል።

ደረጃ 5 መደምደሚያ

እውነት ነው ፣ ያ ኤልዲ (LED) ብልጭ ድርግም ለማድረግ ረጅም ሂደት ነበር ፣ ግን እውነታው ዋና መሰናክሎችን በተሳካ ሁኔታ መጥረግዎ ነው -የኤቪአር ማይክሮ መቆጣጠሪያን ለማዘጋጀት የሃርድዌር መድረክን መፍጠር ፣ Atmel ስቱዲዮን እንደ የተቀናጀ ልማት መድረክ ፣ AVRDUDE ን እንደ ሶፍትዌር መጠቀም የ AVR ማይክሮ መቆጣጠሪያን ማዋቀር እና ማቀናበር።

በመሰረታዊ ማይክሮ መቆጣጠሪያዎቼ ፕሮጀክቶች ላይ ወቅታዊ መረጃ ለማግኘት ከፈለጉ ፣ ለዩቲዩብ ይመዝገቡ! ቪዲዮዎቼን ማየት እና ማጋራት እኔ የማደርገውን ለመደገፍ መንገድ ነው።

ለ YouTube FOG ሰርጥ ይመዝገቡ

የሚመከር: