ዝርዝር ሁኔታ:

DIY 8-ሰርጦች አናሎግ ማክስ/ደቂቃ የቮልቴጅ መቆጣጠሪያ 13 ደረጃዎች
DIY 8-ሰርጦች አናሎግ ማክስ/ደቂቃ የቮልቴጅ መቆጣጠሪያ 13 ደረጃዎች

ቪዲዮ: DIY 8-ሰርጦች አናሎግ ማክስ/ደቂቃ የቮልቴጅ መቆጣጠሪያ 13 ደረጃዎች

ቪዲዮ: DIY 8-ሰርጦች አናሎግ ማክስ/ደቂቃ የቮልቴጅ መቆጣጠሪያ 13 ደረጃዎች
ቪዲዮ: Ethiopia: ሴቶችን በ Text ለማማለል የምንጠቀምባቸው 8 ዘዴዎች (How to text girls) 2024, ሀምሌ
Anonim
DIY 8-ሰርጦች አናሎግ ማክስ/ደቂቃ የቮልቴጅ መቆጣጠሪያ
DIY 8-ሰርጦች አናሎግ ማክስ/ደቂቃ የቮልቴጅ መቆጣጠሪያ

የመቆጣጠሪያ ስርዓቶች እና ተዛማጅ መሣሪያዎች እንደ የኃይል መስመሮች ወይም ባትሪዎች ካሉ በርካታ የኃይል ምንጮች ጋር ይገናኛሉ ፣ እና በተሰጠው ስብስብ መካከል ከፍተኛውን (ወይም ዝቅተኛው) መስመርን መከታተል አለባቸው።

ለምሳሌ ፣ በ “ብዙ ባትሪ” ኃይል ባለው ስርዓት ውስጥ የጭነት መቀያየር ስርዓቱ በባትሪ ድርድር (ከፍተኛው ወይም ደቂቃ እንደ ልዩ የመቀየሪያ ስልተ ቀመር ተግባር) መካከል ያለውን ዝቅተኛ ወይም ከፍተኛውን ቮልቴጅ መከታተል እና ጭነቱን ወደ በእውነተኛ ሰዓት ውስጥ በጣም ተገቢ ምንጭ። በአማራጭ ፣ ስርዓቱ ለትክክለኛው ኃይል መሙያ ዝቅተኛውን የባትሪ ባትሪ ለጊዜው ማገናኘት ሊያስፈልገው ይችላል።

ሌላው ምሳሌ ዘመናዊ የፀሐይ ኃይል ስርዓት ነው ፣ እያንዳንዱ የፀሐይ ፓነል በተንጣለለ ዘዴ እና በፀሐይ መከታተያ ዑደት የታገዘበት (የኋለኛው ደግሞ ፓነሉን ለማስተካከል የፀሐይ አቀማመጥ መረጃን ይሰጣል)። በከፍተኛው-ቮልቴጅ መረጃ ፣ እያንዳንዱ የፀሐይ ፓነል አቀማመጥ በእውነቱ ከፍተኛውን የውጤት ቮልቴጅን በሚያቀርብበት የሕብረቁምፊው ፓነል መሠረት በጥሩ ሁኔታ ሊስተካከል ይችላል ፣ በዚህም የላቀ የኃይል ማመቻቸት ደረጃን ያገኛል።

በመርህ ደረጃ ከፍተኛውን የ voltage ልቴጅ ተከታይን ተግባራዊ ሊያደርጉ የሚችሉ በርካታ የተለያዩ የንግድ አይሲዎች (በዋናነት የማይክሮ መቆጣጠሪያዎች) አሉ። ሆኖም ፣ እነሱ መርሃግብር ይፈልጋሉ እና ከተደባለቀ-ሲግናል መፍትሔ ያነሰ ወጪ ቆጣቢ ናቸው። የንግግር GreenPAK TM ንድፍን ማሟላት የንድፍ አቅምን ፣ መጠኑን እና ሞዱላነቱን በጥሩ ሁኔታ ሊጎዳ ይችላል። ይህ ንድፍ ሁለቱንም የአናሎግ እና ዲጂታል ወረዳዎችን በአንድ ነጠላ ቺፕ ውስጥ የማዋሃድ ጥቅምን ይጠቀማል።

በዚህ መመሪያ ውስጥ ፣ በስምንት የተለያዩ ምንጮች መካከል ከፍተኛ (ወይም በመጨረሻ ደቂቃ) የቮልቴጅ ፈላጊን ለመተግበር የሚያስፈልገው የአናሎግ የፊት-መጨረሻ እና ዲጂታል አመክንዮ ተግባራዊ ይሆናል።

8-ሰርጥ የአናሎግ ማክስ/ደቂቃ የቮልቴጅ መቆጣጠሪያን ለመተግበር SLG46620G ጥቅም ላይ ይውላል።

ከዚህ በታች የ 8-ሰርጦችን የአናሎግ ማክስ/ደቂቃ የቮልቴጅ መቆጣጠሪያን ለመፍጠር መፍትሄው እንዴት እንደተዘጋጀ ለመረዳት የሚያስፈልጉትን ደረጃዎች ገልፀናል። ሆኖም ፣ እርስዎ የፕሮግራም ውጤትን ለማግኘት ከፈለጉ ፣ ቀድሞውኑ የተጠናቀቀውን የግሪንፓክ ዲዛይን ፋይል ለማየት የ GreenPAK ሶፍትዌርን ያውርዱ። የ 8 ሰርጦችን የአናሎግ ማክስ/ደቂቃ የቮልቴጅ መቆጣጠሪያን ለመፍጠር የግሪንፓክ ልማት ኪትዎን ወደ ኮምፒተርዎ ይሰኩ እና ፕሮግራሙን ይምቱ።

ደረጃ 1 ዲያግራምን አግድ

የማገጃ ንድፍ
የማገጃ ንድፍ

ስእል 1 የተተገበረውን ስርዓት ዓለም አቀፋዊ ንድፍ ያሳያል።

እስከ 8 የሚደርሱ ተለዋዋጭ የቮልቴጅ ምንጮች ሕብረቁምፊ ከሌላው አንፃር ከፍተኛውን voltage ልቴጅ ካለው ምንጩን ለመምረጥ ከሚችል ብጁ የውስጥ ዲዛይን ጋር የተገናኘ ወደ ግሪንፓክ ኤዲሲ ሞዱል ተገናኝቷል። ውስጣዊ አመክንዮ ይህንን መረጃ ለዕይታ ወይም ለተጨማሪ ሂደት ያወጣል።

ለትግበራው ሁሉንም አስፈላጊ የአናሎግ እና ዲጂታል የግንባታ ብሎኮችን ስለሚያካትት ዲዛይኑ በ GreenPAK SLG46620G ምርት ላይ የተመሠረተ ነው። በዚህ ትግበራ ድርድር በቀጥታ በግሪንፓክ አይሲ በሚነዳ በ ADG508 የአናሎግ ባለብዙ ማሰራጫ አማካኝነት ይቃኛል።

የውጤቱ መረጃ ከከፍተኛው የቮልቴጅ ምንጭ ቁጥር ጋር የሚዛመድ ባለ 3 ቢት ዲጂታል ቁጥር ነው። ይህንን መረጃ ለማግኘት ቀጥተኛ መንገድ ቁጥሩን በ 7-ክፍል ማሳያ በመጠቀም ማሳየት ነው። የ SLG46620G ዲጂታል ውፅዓት ወደ 7-ክፍል ማሳያ ነጂ ፣ ለምሳሌ በማጣቀሻ ውስጥ ለተገለጸው የግሪንፓክ መፍትሄ ወይም ለተለመደ 74LS47 IC በመገጣጠም በቀላሉ ሊሳካ ይችላል።

የቀረበው ወረዳ ከፍተኛውን ቮልቴጅ ይፈልጋል። ንድፉን ወደ ዝቅተኛ የቮልቴጅ መፈለጊያ ለመለወጥ ፣ ባለብዙ ማሰራጫ ውጤቱን ከ 1 ቮ የማጣቀሻ voltage ልቴጅ በመቀነስ ፣ በአናሎግ ብዜት እና በግሪንፓክ የአናሎግ ግብዓት መካከል ቀለል ያለ ቅድመ-መቆጣጠሪያ ወረዳ መጨመር አለበት።

ደረጃ 2 - የአሠራር መርህ

የአሠራር መርህ
የአሠራር መርህ

ዲዛይኑ ምንጩን በከፍተኛው voltage ልቴጅ ለመምረጥ ያለመ ነው ፣ ስለሆነም የመጨረሻውን ከፍተኛውን እሴት በማከማቸት እና ከሚቀጥለው እሴት ጋር በማወዳደር (በፍተሻው ውስጥ ለሚቀጥለው የ voltage ልቴጅ ምንጭ ከሆነው) ጋር በማነፃፀር በመላው ድርድር ላይ ፍተሻ ይከናወናል።

በሚከተለው ውስጥ በሁሉም ግብዓቶች ላይ እንደ “ቅኝት” ወይም “ሉፕ” የተሟላ የተሟላ የማባዛትን ቅደም ተከተል እንጠቅሳለን።

በሁለት እሴቶች መካከል ማወዳደር በ ACMP ክፍሎች (ግን ፣ በ SLG46620G ውስጥ የሚገኝ) አይከናወንም ፣ ግን ይልቁንስ በዲሲፒኤም ሞዱል ፣ አንዴ እሴቶቹ በቅደም ተከተል ዲጂት ከተደረጉ በኋላ። ይህ በመጀመሪያ በማጣቀሻ ውስጥ የተጠቀሰው የላቀ እና የተጣራ ቴክኒክ ነው።

ምስል 2 SLG46620G ለዚህ ንድፍ እንዴት እንደተዋቀረ ያሳያል።

የአናሎግ ግብዓት ምልክት በ PGA አካል በኩል በ ‹GGA› ስብስብ በኩል ወደ ADC ግብዓት ይሄዳል። የተለያዩ የ Gain ቅንጅቶች የግቤት ግፊቶችን ወደ 0-1 ቮት ለማርካት ከተሰጡት የፊት-መጨረሻ ኮንዲሽነር ኤሌክትሮኒክስ ጋር በጣም ጥሩውን ግጥሚያ ማግኘት ይቻላል። የ GreenPAK ADC ሞዱል ክልል።

ኤ.ዲ.ሲ በነጠላ ማብቂያ ሞድ ውስጥ ይሠራል እና የአናሎግ ምልክቱን ወደ 8-ቢት ዲጂታል ኮድ ይለውጣል ፣ ከዚያ ወደ ዲሲኤምፒ ሞዱል ይተላለፋል። ዲጂታል ኮዱ ቀጣዩ ምት ወደ SPI CLK ግብዓት እስኪመጣ ድረስ ዲጂታል ኮድ ሊከማች እና ሊለወጥ የማይችልበት እንደ ADC/FSM ቋት ሆኖ በተዋቀረው በ SPI ብሎክ ውስጥ ይመገባል። አንድ የተወሰነ የሎጂክ ማገጃ የ SPI CLK ግቤትን እንደሚነዳ ያስተውሉ። ይህ ለትክክለኛው አሠራር ኃላፊነት ያለው “ዋና” አመክንዮ ስለሆነ ይህንን ክፍል በኋላ እንመረምራለን። አዲስ የዲጂታል ኮድ በ SPI ሞዱል ውስጥ የሚቀመጠው ለትክክለኛው ከፍተኛ የግቤት ቮልቴጅ ከሆነ ብቻ ነው።

የዲሲኤምፒው አካል የአሁኑን የኤ.ዲ.ሲ መረጃን በ SPI ብሎክ ውስጥ ከተቀመጠው የመጨረሻው አሸናፊ (ያ የመጨረሻው ከፍተኛው ተገኝቷል) ጋር ለማነጻጸር ያገለግላል። አዲስ የኤዲሲ ውሂብ ከቀዳሚው የበለጠ በሚሆንበት ጊዜ በ OUT+ ውፅዓት ላይ የልብ ምት ይፈጥራል። የ SPI ብሎክን ከአዲሱ አሸናፊ ጋር ለማዘመን የ OUT+ ምልክትን እንጠቀማለን።

የአለምአቀፍ የሰዓት ምልክት የኤዲሲ ልወጣዎችን እና አጠቃላይ ጊዜዎችን ያንቀሳቅሳል። አንዴ ልወጣ ከተከናወነ ፣ የኤ.ዲ.ሲ.ኢ.ቲ. እኛ በሦስት ምክንያቶች ጠቃሚ በሆነው በማትሪክስ 1 በ DFFs የተተገበረውን ባለ 3-ቢት ሁለትዮሽ ቆጣሪ ለማሳደግ የ INT ውፅዓትንም እንጠቀማለን-

1. የቆጣሪው የውጤት መስመሮች የውጭውን የአናሎግ ባለብዙ ማዞሪያን ያነጋግሩ ፣ በዚህም ቀጣዩን የግቤት ቮልቴጅን ለኤ.ዲ.ሲ.

2. ቁጥሩ በ 3-ቢት መመዝገቢያ (በማትሪክስ 1 ውስጥ ተተግብሯል) በፍተሻ ጊዜ ጊዜያዊ አሸናፊውን ለማከማቸት ፣

3. ስካን አንዴ ከተጠናቀቀ ሁለተኛውን የ 3-ቢት መመዝገቢያ (በማትሪክስ ውስጥ የተተገበረ) ለማዘመን ቆጠራው ዲኮድ ይደረጋል።

ደረጃ 3 ሎጂክ አተገባበር

ሎጂክ ትግበራ
ሎጂክ ትግበራ

የኤ.ዲ.ሲ ሞጁል በቅደም ተከተል የሁሉንም የግቤት ውጥረቶች የተቀየረ መረጃን ይሰጣል ፣ አንዱ ለሌላው ፣ ላልተወሰነ ጊዜ። አንዴ ባለ ብዙ ኤክስሴሩ 0b111 (አስርዮሽ 7) ከተነገረ በኋላ ፣ ቆጣሪው ወደ 0b000 (አስርዮሽ 0) ይሽከረከራል ፣ በዚህም የግቤት ውጥረቶችን አዲስ ቅኝት ይጀምራል።

በፍተሻው ወቅት ፣ ትይዩ የመረጃ ውፅዓት ልክ በሚሆንበት ጊዜ የ ADC INT የውጤት ምልክት ይፈጠራል። ይህ ምልክት በሚንሳፈፍበት ጊዜ የአናሎግ ማባዛቱ ወደ ቀጣዩ ግብዓት መለወጥ አለበት ፣ ፒጂኤውን ከተዛማጅ ቮልቴጅ ጋር ይሰጣል። ስለዚህ ፣ የ ADC INT ውፅዓት በስእል 2 ባለ 3 ቢት የሁለትዮሽ ቆጣሪ ቀጥታ የሰዓት ምልክት ነው ፣ የእሱ ባለ 3 ቢት ትይዩ የውጤት ቃል በቀጥታ የውጭውን የአናሎግ ብዜት (በስእል 1 ውስጥ “V ምረጥ”) የሚመለከት ነው።

አሁን የግቤት ቮልቴጅዎች የሚከተሉት ግንኙነቶች ሊኖራቸው የሚገባበትን ምሳሌ እንጠቅስ-

ሀ) V0 <V1 <V2

ለ) V2> V3 ፣ V4

ሐ) V5> V2

ምስል 3 በከፍተኛው ውሳኔ ዘዴ ውስጥ የተካተቱትን ዋና ዋና ምልክቶች ይወክላል።

ውሂቡ በመጨረሻ ከ SPT ቋት ምዝገባ ጋር ከ INT ጥራጥሬዎች ጋር ተመሳስሎ ስለሚገኝ ፣ የ SPI ቋት ይዘት ከሚቀጥለው የኤዲሲ ልወጣ ውጤት ጋር ሲነጻጸር የንፅፅር መስኮት አለ። ይህ ልዩ ጊዜ በዲሲኤምፒ ውፅዓት ላይ የ OUT+ ጥራጥሬዎችን ማመንጨት ያስከትላል። ወደ SPI ቋት መመዝገቢያ አዲስ እሴቶችን ለመጫን በእነዚህ ጥቅሎች ልንጠቀም እንችላለን።

ከቀዳሚው ስእል ከ SPI ቋት የውሂብ መስመር እንደሚታየው ፣ የ SPI ምዝገባ ሁል ጊዜ በ 8 ግብዓቶች መካከል ትልቁን እሴት ይይዛል ፣ እና የሚዘመነው በ DCMP ሞዱል (DCMP ን በመጥቀስ) ትልቅ እሴት ሲታወቅ ብቻ ነው። የእቅዱ የውጤት መስመር (OUT+ ውፅዓት) መስመር ፣ የጎደሉት ጥራጥሬዎች V2 ከቪ 5 ጋር እስኪወዳደር ድረስ በመመዝገቢያው ውስጥ ተጣብቆ እንዲቆይ ያደርጉታል)።

ደረጃ 4: ቀነ -ገደቡን መፍታት

ቀነ -ገደቡን መፍታት
ቀነ -ገደቡን መፍታት

የተተገበረው አመክንዮ ከላይ እንደተገለፀው ከሆነ እኛ ወደ መዘጋት ሁኔታ እንደምንገባ ግልፅ ነው -ስርዓቱ በ SPI ቋት መዝገብ ውስጥ ከተከማቸው ጋር የሚዛመደውን ከፍ ያለ የቮልቴጅ ብቻ የመለየት ችሎታ አለው።

ይህ ቮልቴጅ ቢቀንስ እና ከዚያ ሌላ ግብዓት ፣ ከዚያ እስከዚያ ድረስ ዝቅተኛው ፣ ከፍተኛው ሆኖ ቢገኝ ይህ ትክክል ነው - ይህ ሁኔታ በጭራሽ አልተገለጸም። ግልጽነት።

በተደጋገመበት ጊዜ ፣ V3 ጠብታዎች እና V1 ትክክለኛው ከፍተኛ ቮልቴጅ ነው። ነገር ግን በ SPI ቋት መመዝገቢያ (ከ 0.6 ቮ ጋር የሚዛመድ) ከ V1 (0.4 ቮ) ጋር ስለሚዛመድ የ DCMP ሞዱል የልብ ምት አይሰጥም።

ከዚያ ስርዓቱ እንደ “ፍጹም” ከፍተኛ ፈላጊ ሆኖ ይሠራል እና ውጤቱን በትክክል አያዘምንም።

ይህንን ችግር ለማሸነፍ ጥሩ መንገድ ስርዓቱ የሁሉንም ሰርጦች ሙሉ የምርጫ ዑደት ሲያጠናቅቅ ውሂቡን ወደ SPI Buffer Register እንደገና እንዲጭን ማስገደድ ነው።

በእውነቱ ፣ በዚያ ግብዓት ላይ ያለው voltage ልቴጅ አሁንም ከፍተኛ ከሆነ ፣ ምንም ነገር አይለወጥም እና መቆጣጠሪያው በደህና ይቀጥላል (ከላይ ስእል 4 ን በመጥቀስ ፣ ይህ የ Loop Iterations 0 እና 1 ጉዳይ ነው)። በሌላ በኩል ፣ በዚያ ግብዓት ላይ ያለው voltage ልቴጅ በአጋጣሚ ያለው ቮልቴጅ ከሌላ ግብዓት ያነሰ ዋጋን ዝቅ የሚያደርግ ከሆነ ፣ ከዚያ እሴቱን እንደገና መጫን ለዲሲኤምፒ ሞዱል ከአዲሱ ከፍተኛ እሴት ጋር ሲያወዳድሩት አንድ OUT+ ምት ለማምረት እድሉን ይሰጣል (ይህ ነው የሉፕ ማሻሻያዎች ጉዳይ 2 እና 3)።

ችግሩን ለማሸነፍ አንድ የሎጂክ ወረዳ አስፈላጊ ነው። መዞሪያው ወደ ትክክለኛው ከፍተኛ ግብዓት ሲደርስ ለ SPI ክፍል የሰዓት ምልክት ማፍለቅ አለበት ፣ ስለሆነም የዘመነውን የውሂብ ቃሉን እንደገና ወደ SPI Buffer Register እንዲጭን ያስገድዳል። ይህ ከዚህ በታች በክፍል 7.2 እና 7.6 ውስጥ ይብራራል።

ደረጃ 5 - የመሣሪያ ውቅር - ማትሪክስ 0 ወረዳ

የመሣሪያ ውቅር - ማትሪክስ 0 ወረዳ
የመሣሪያ ውቅር - ማትሪክስ 0 ወረዳ

SLG46620G በስእል 2. እንደሚታየው ሁለት የማትሪክስ ብሎኮች አሉት።

የወረዳው የላይኛው ክፍል በ 3 ዲኤፍኤፍ (DFF0 ፣ DFF1 እና DFF2) የተገነዘበው “Loop Register” ነው። የ “አሸናፊውን” የሁለትዮሽ ቁጥር ለ 7-ክፍል ማሳያ ነጂ ለመላክ ከእያንዳንዱ የግብዓት ባለ ብዙ ማዞሪያ በኋላ ይታደሳል ፣ ይህ በመጨረሻው ፍተሻ ውስጥ ከፍተኛ ቮልቴጅ ያለው ግቤት ነው። ሁለቱም ሰዓቶች ወደ ኤፍኤፍኤስ እና ውሂቡ በማትሪክስ 1 ውስጥ ከተተገበረው አመክንዮ የሚመጣው በቅደም ተከተል ወደብ P12 ፣ P13 ፣ P14 እና P15 ነው።

የታችኛው ክፍል በፒን 8 እና በ PGA ከ x1 ጋር ግብዓት ያለው የአናሎግ የፊት መጨረሻ ነው። የ ADC INT ውፅዓት ሁለቱንም ወደ SPI የሰዓት አመክንዮ እና ወደ ማትሪክስ 1 ወደብ P0 በኩል ይሄዳል ፣ እዚያም ለተቆጣሪው የሰዓት ምልክት ሆኖ ያገለግላል።

የኤ.ዲ.ሲ እና የ SPI ትይዩ የውሂብ ውጤቶች በማትሪክስ 1 ውስጥ ካለው የዲሲኤምፒ አካል ጋር እንዲገናኙ በቅደም ተከተል NET11 እና NET15 የሚል ስያሜ ተሰጥቷቸዋል።

ደረጃ 6 - የመሣሪያ ውቅር - SPI ክሎክ ሎጂክ

የመሣሪያ ውቅር - የ SPI መዝጊያ አመክንዮ
የመሣሪያ ውቅር - የ SPI መዝጊያ አመክንዮ

በ “ሎጂክ አተገባበር” ክፍል ውስጥ ቀደም ሲል እንደጠቆመው ፣ በእውነተኛ የተከማቸ እሴት እና በአዲሱ የኤ.ዲ.ሲ የልወጣ ውሂብ መካከል ያለው ንፅፅር በዲሲኤምፒ ኦውት+ ውፅዓት ላይ የልብ ምት በሚያመነጭበት ጊዜ ሁሉ የ SPI Buffer Register ይዘምናል።

ይህ ምልክት ከ ADC INT ውፅዓት ጋር AND-ed ከሆነ የምልክት ታማኝነት ይረጋገጣል። ይህ ማንኛውንም ማነቃቂያ እና የሐሰት ማነቃቂያ ያስወግዳል።

እንዲሁም የማቆሚያ ሁኔታዎችን ለመዝለል ፣ ዑደቱ ወደ ትክክለኛው የአሸናፊ ውሂብ ሲደርስ የ SPI Buffer መዘመን እንዳለበት አስገንዝበናል።

ለትክክለኛ የ SPI ሰዓት ጨዋታ ሶስት ምልክቶች በጨዋታው ውስጥ አሉ-

1. የ ADC INT ውፅዓት (LUT0-IN1)

2. DCMP OUT+ ውፅዓት (LUT0-IN0 በወደብ P10 በኩል)

3. ቆጠራ የላች ምልክት (LUT0-IN2 በ P11 በኩል)

የመጀመሪያዎቹ ሁለቱ ብአዴን እና ሁለቱም በ LUT0 ውስጥ ከሁለተኛው ጋር ፣ አተገባበሩ በስእል 6 እንደተዋቀረ ነው።

ደረጃ 7 - የመሣሪያ ውቅር - ማትሪክስ 0 አካላት ባህሪዎች

የመሣሪያ ውቅር - ማትሪክስ 0 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 0 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 0 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 0 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 0 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 0 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 0 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 0 አካላት ባህሪዎች

ሥዕሎቹ 7-10 የማትሪክስ 0 ንብረት የሆኑትን ቀሪዎቹን ክፍሎች የንብረት መስኮቶችን ያሳያል።

ደረጃ 8 - የመሣሪያ ውቅር - ማትሪክስ 1 ወረዳ

የመሣሪያ ውቅር - ማትሪክስ 1 ወረዳ
የመሣሪያ ውቅር - ማትሪክስ 1 ወረዳ

ደረጃ 9 - የመሣሪያ ውቅር - 3 -ቢት ሁለትዮሽ ቆጣሪ

የመሣሪያ ውቅር - 3 -ቢት ሁለትዮሽ ቆጣሪ
የመሣሪያ ውቅር - 3 -ቢት ሁለትዮሽ ቆጣሪ
የመሣሪያ ውቅር - 3 -ቢት ሁለትዮሽ ቆጣሪ
የመሣሪያ ውቅር - 3 -ቢት ሁለትዮሽ ቆጣሪ
የመሣሪያ ውቅር - 3 -ቢት ሁለትዮሽ ቆጣሪ
የመሣሪያ ውቅር - 3 -ቢት ሁለትዮሽ ቆጣሪ

የወረዳው የላይኛው ክፍል ቀደም ሲል እንደተገለፀው በዋናው የ ADC INT ውፅዓት በ 3 ቢት ሁለትዮሽ ቆጣሪ አመክንዮ አካላትን ይ containsል። ይህ ቆጣሪ በስእል 12 ላይ በሚታየው በጣም “መደበኛ” መርሃግብር ተተግብሯል።

በ Flip-Flops DFF9 ፣ DFF10 ፣ DFF11 እና INV1 ፣ LUT4 ፣ LUT8 አማካኝነት ይህ ቆጣሪ በእኛ ንድፍ ውስጥ ተገንዝቧል። የ DFF9 ውፅዓት ኤልኤስቢ ሲሆን DFF11 በስእል 13 ላይ እንደሚታየው MSB ነው።

LUT4 እንደ XOR የተዋቀረ ሲሆን LUT8 የስዕል 12 ን AND-XOR አመክንዮ ሲያከናውን።

የውጭውን የአናሎግ ባለብዙ ማሰራጫ ለመቅረፍ የቆጣሪ ውጤቶች ወደ ሶስት ዲጂታል የውጤት ፒኖች ይሄዳሉ።

LUT10 አንድ ፍተሻ ሲጠናቀቅ እና በ DLY8 እና ወደብ P12 በኩል ወደ ማትሪክስ0 የልብ ምት ሲመገብ የቆጣሪውን ኮድ ይወስናል። ይህ በቀላሉ የሚከናወነው የቆጣሪውን ውጤቶች እና በማስገባቱ ቁጥር 7 ዲኮድን (0b111 ሁለትዮሽ ፣ የሉፕ መጨረሻ) ዲኮዲንግ በማድረግ ነው።

ደረጃ 10 - የመሣሪያ ውቅር - 3 -ቢት አመክንዮ ማወዳደር

የመሣሪያ ውቅር - 3 -ቢት አመክንዮ ማወዳደር
የመሣሪያ ውቅር - 3 -ቢት አመክንዮ ማወዳደር

ስእል 15 ሉፕ አሁን ባለው “አሸናፊ” አድራሻ ላይ ሲደጋገም ለመለየት ጥቅም ላይ የዋለውን ወረዳ ያሳያል። በዚህ ሁኔታ ፣ ቀደም ሲል እንደተወያየው ፣ አንድ ዲጂታል ምት የአሁኑን የኤዲሲ ውጤት እንደገና እንዲጫን ማስገደድ ያለበትን የመገደብ ሁኔታ ለመፍታት ያስችላል።

“አሸናፊ” አድራሻው በማትሪክስ 1 ጊዜያዊ መዝገብ ውስጥ ተከማችቷል (ከዚህ በታች ይመልከቱ) ፣ የአሁኑ አድራሻ በሁለትዮሽ ቆጣሪ ይወጣል።

ሁለቱም ግብዓቶች እኩል ሲሆኑ የ XNOR በሮች እውነተኛ (አመክንዮ 1 ወይም ‹ከፍተኛ›) ውፅዓት ይሰጣሉ። ይህንን ምልክት ለሁሉም ቢት (LUT9) ማስገባት እና የሁለትዮሽ ኮዶች ተመሳሳይ ሲሆኑ የልብ ምት ይሰጠናል። ስለ XOR በሮች ዝርዝሮች እንደ እኩልነት ፈታሽ ዝርዝሮች በማጣቀሻ ውስጥ ይገኛሉ።

የ ‹Counter-equals-Latch› ምልክት ወደ ማትሪክስ 0 በ P11 በኩል ተላል isል።

ደረጃ 11 - የመሣሪያ ውቅረት - ዲጂታል ንፅፅር አመክንዮ እና ጊዜያዊ ምዝገባ

የመሣሪያ ውቅረት - ዲጂታል ማወዳደር ሎጂክ እና ጊዜያዊ ምዝገባ
የመሣሪያ ውቅረት - ዲጂታል ማወዳደር ሎጂክ እና ጊዜያዊ ምዝገባ

የስዕል 11 የታችኛው ክፍል (በስእል 16 ላይ ጎላ ተደርጎ ይታያል) የዚህን ዲዛይን ውሳኔ ሰጪ አካል የሆነውን የዲሲኤምፒ ብሎክን ያሳያል።

ዑደትው በሚሠራበት ጊዜ ጊዜያዊ የግብዓት ቁጥሩን “አሸናፊ” ለማከማቸት DFF6 ፣ 7 እና 8 ባለ 3 ቢት ምዝገባ ይመሰርታሉ። ወደ ፍሊፕ-ፍሎፕስ ግቤት በስእል 11 በዓለምአቀፍ ማትሪክስ 1 ወረዳ ውስጥ እንደሚታየው ባለ 3-ቢት የሁለትዮሽ ቆጣሪ ውጤቶች ናቸው ፣ እዚህ ለግልጽነት ተዘሏል።

የዚህ መመዝገቢያ ውጤቶች በቀጥታ የ 7-ክፍል ማሳያውን መንዳት አይችሉም ምክንያቱም እዚህ የተከማቸ እሴት በፍተሻ ወቅት ስለሚለወጥ እና ‹ልክ› እንደሆነ ተደርጎ መታየት ያለበት በራሱ ፍተሻው መጨረሻ ላይ ብቻ ነው።

በዚህ ምክንያት ፣ ጊዜያዊ የመመዝገቢያ ውጤቶች በማትሪክስ ወደቦች P13 ፣ P14 እና P15 በኩል ከ Matrix0's Loop Register ጋር ይገናኛሉ።

ኤዲሲ-ኤስፒአይ ንፅፅር ሲመዘገብ አዲስ ከፍተኛ ተገኝቶ ሲገኝ ጊዜያዊ ምዝገባው በዲሲኤምፒኤም OUT+ ውፅዓት የታጨቀ መሆኑን በስእል 16 ውስጥ መመልከት አስፈላጊ ነው።

ተመሳሳይ የ OUT+ ምልክት ወደ ማትሪክስ 0 ፣ SPI CLK ሎጂክ ፣ በወደብ P10 በኩል ተላል isል።

ደረጃ 12 - የመሣሪያ ውቅር - ማትሪክስ 1 አካላት ባህሪዎች

የመሣሪያ ውቅር - ማትሪክስ 1 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 1 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 1 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 1 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 1 አካላት ባህሪዎች
የመሣሪያ ውቅር - ማትሪክስ 1 አካላት ባህሪዎች

ሥዕሎቹ 17-19 የማትሪክስ 1 የሆኑትን የንብረት መስኮቶች ያሳያል።

ደረጃ 13 ውጤቶች

ውጤቶች
ውጤቶች
ውጤቶች
ውጤቶች
ውጤቶች
ውጤቶች
ውጤቶች
ውጤቶች

አተገባበሩን ለመፈተሽ 8 የአናሎግ ግብዓት ቮልቴጅዎች በትሪምፖትስ (በስእል 20 እንደሚታየው) በተከታታይ ተከላካይ መከፋፈያዎች የተገኙበት የግምገማ ቦርድ ፕሮቶታይፕ ተገንብቷል።

ብዙ ጥቅም ላይ የዋለው ባለብዙ ኤክስደር ADG508 ነው ፣ በአንድ አቅርቦት (12 ቮ) ሊሠራ የሚችል አካል።

ባለ 7 ክፍል ማሳያ ሾፌሩ 74LS47 ነው። ትይዩ የግቤት ቃልን ዲኮድ ያደርጋል እና በቀጥታ የጋራ-አኖድ 7-ክፍል ማሳያ ያሳያል።

የግምገማ ሰሌዳው በስእል 21 ላይ እንደሚታየው በማስፋፊያ አገናኛው በቀጥታ በግሪንፓክ የላቀ ልማት መድረክ ላይ ለመሰካት 2x10 የቀኝ ማዕዘን አገናኝ አለው።

ከ GreenPAK የላቀ ልማት መድረክ ጋር ያለው ትስስር ለፈጣን ቼክ የምልክቶችን መለኪያዎች መውሰድ በጣም ቀላል ያደርገዋል። ለምሳሌ ፣ ምስል 22 በ HP 54620A አመክንዮ ተንታኝ እንደተያዘ የምልክቶች ስብስብ (በቅደም ተከተል CLOCK ፣ ADC INT ውፅዓት እና DCMP OUT+ ውፅዓት) ያሳያል። የሞገድ ቅርጾች በ OUT+ ምልክት ጠርዝ (“A> B” በተሰየመው ወሰን) የተነሳ ነው ፣ ስለሆነም ከአናሎግ ግብዓቶች መካከል አዲስ ከፍተኛ ቮልቴጅ በሚታወቅበት ጊዜ ይህ የሞገድ ቅርፅ መያዝ ነው። በእርግጥ ፣ በስዕሉ 22 ላይ የሚታየውን ተጓዳኝ ቮልቴጅን ለማሳደግ ከግምገማ ቦርድ (TrimPots) አንዱን በማሽከርከር የተገኘ ነው።

ስእል 23 የግምገማ ቦርድ ንድፉን ያሳያል።

መደምደሚያ

በዚህ Instructable ውስጥ ፣ ለብዙ-ሰርጥ መቆጣጠሪያ ስርዓቶች እንደ አንድ የጋራ ጥቅም ላይ የሚውል ስምንት-ሰርጥ ከፍተኛ (ወይም ደቂቃ) የቮልቴጅ መፈለጊያ ተግባራዊ አድርገናል። የቀረበው አቀራረብ የ GreenPAK ክፍሎችን የላቁ ባህሪያትን ይጠቀማል እና በአንድ ነጠላ ቺፕ አናሎግ እና ዲጂታል ተግባራት ውስጥ እንዴት ማዋሃድ እንደሚቻል ያሳያል። የማመልከቻው መጠን እና ወጪው እንዲቀንስ በርካታ የንግድ አይሲዎች በንግግር ግሪንፓኬኮች ሊተኩ ይችላሉ።

የሚመከር: