ዝርዝር ሁኔታ:

ወደ አርዱዲኖ መግቢያ 15 ደረጃዎች (ከስዕሎች ጋር)
ወደ አርዱዲኖ መግቢያ 15 ደረጃዎች (ከስዕሎች ጋር)

ቪዲዮ: ወደ አርዱዲኖ መግቢያ 15 ደረጃዎች (ከስዕሎች ጋር)

ቪዲዮ: ወደ አርዱዲኖ መግቢያ 15 ደረጃዎች (ከስዕሎች ጋር)
ቪዲዮ: RAMPS 1.6 - Basics 2024, ሀምሌ
Anonim
ወደ አርዱዲኖ መግቢያ
ወደ አርዱዲኖ መግቢያ

አርዱዲኖ ክፍት ምንጭ ማይክሮ መቆጣጠሪያ መቆጣጠሪያ ቦርድ ነው። በቀላል እንግሊዝኛ ፣ ዳሳሾችን ለማንበብ እና እንደ ሞተሮች እና መብራቶች ያሉ ነገሮችን ለመቆጣጠር አርዱዲኖን መጠቀም ይችላሉ። ይህ በእውነተኛው ዓለም ውስጥ ካሉ ነገሮች ጋር መስተጋብር መፍጠር የሚችል ፕሮግራሞችን ወደዚህ ሰሌዳ እንዲጭኑ ያስችልዎታል። በዚህ አማካኝነት ለዓለም ምላሽ የሚሰጡ እና ምላሽ የሚሰጡ መሣሪያዎችን መስራት ይችላሉ።

ለምሳሌ ፣ ከሸክላ ተክል ጋር የተገናኘ የእርጥበት ዳሳሽ ማንበብ እና በጣም ከደረቀ አውቶማቲክ የውሃ ማጠጫ ስርዓትን ማብራት ይችላሉ። ወይም ፣ በበይነመረብ ራውተርዎ ውስጥ የተሰካ ራሱን የቻለ የውይይት አገልጋይ ማድረግ ይችላሉ። ወይም ፣ ድመትዎ በቤት እንስሳት በር በሄደ ቁጥር ትዊተር ሊልከው ይችላል። ወይም ፣ ማንቂያዎ ጠዋት ላይ ሲጠፋ የቡና ድስት እንዲጀምር ማድረግ ይችላሉ።

በመሠረቱ ፣ በማንኛውም መንገድ በኤሌክትሪክ ቁጥጥር የሚደረግበት ነገር ካለ ፣ አርዱinoኖ በሆነ መንገድ ከእሱ ጋር መገናኘት ይችላል። እና በኤሌክትሪክ ቁጥጥር ባይደረግም ፣ ምናልባት ከእሱ ጋር ለመገናኘት (እንደ ሞተርስ እና ኤሌክትሮማግኔቶች ያሉ) ነገሮችን አሁንም መጠቀም ይችላሉ።

የአርዱዲኖ ዕድሎች ወሰን የለሽ ናቸው። ስለዚህ ፣ አንድ ነጠላ መማሪያ እርስዎ ማወቅ የሚፈልጉትን ሁሉ የሚሸፍንበት መንገድ የለም። ያ አለ ፣ አርዱዲኖዎን ለማንቀሳቀስ የሚያስፈልጉዎትን መሰረታዊ ችሎታዎች እና ዕውቀት መሠረታዊ አጠቃላይ እይታ ለመስጠት የተቻለኝን ሁሉ አድርጌያለሁ። ምንም ተጨማሪ ካልሆነ ፣ ይህ ወደ ተጨማሪ ሙከራ እና ትምህርት እንደ ምንጭ ሰሌዳ ሆኖ መሥራት አለበት።

ደረጃ 1: የተለያዩ የአርዱዲኖ ዓይነቶች

የተለያዩ የአርዱዲኖ ዓይነቶች
የተለያዩ የአርዱዲኖ ዓይነቶች
የተለያዩ የአርዱዲኖ ዓይነቶች
የተለያዩ የአርዱዲኖ ዓይነቶች
የተለያዩ የአርዱዲኖ ዓይነቶች
የተለያዩ የአርዱዲኖ ዓይነቶች

ለመምረጥ ብዙ የተለያዩ የአርዱኖ ዓይነቶች አሉ። ይህ ሊያጋጥሟቸው ስለሚችሏቸው አንዳንድ በጣም የተለመዱ የአርዱዲኖ ሰሌዳዎች አጭር መግለጫ ነው። ለአሁኑ የአርዱዲኖ ቦርዶች ሙሉ ዝርዝር ፣ የአርዱዲኖ ሃርድዌር ገጽን ይመልከቱ።

አርዱዲኖ ኡኖ

በጣም የተለመደው የአርዱዲኖ ስሪት አርዱዲኖ ኡኖ ነው። ይህ ሰሌዳ ብዙ ሰዎች ስለ አርዱinoኖ ሲጠቅሱ የሚያወሩት ነው። በሚቀጥለው ደረጃ ፣ የባህሪያቱ የበለጠ የተሟላ ዝርዝር አለ።

አርዱዲኖ ኤንጂ ፣ ዲሲሚላ እና ዱሚላኖቭ (የቆዩ ስሪቶች)

የአርዱዲኖ ኡኖ ምርት መስመር ቅርስ ስሪቶች ኤንጂ ፣ ዲሲሚላ እና ዱሚላኖቭን ያካትታሉ። ስለ ውርስ ቦርዶች ልብ ሊባል የሚገባው ዋናው ነገር የአርዱዲኖ ኡኖ ልዩ ባህሪ አለመኖራቸው ነው። አንዳንድ ቁልፍ ልዩነቶች:

  • ዲሲሚላ እና ኤንጂኤኤኤኤኤኤኤኤኤኤኤኤኤ 168 ቺፖችን (በጣም ኃይለኛ ከሆነው ATMEGA328 በተቃራኒ) ፣
  • ሁለቱም Diecimila እና NG ከዩኤስቢ ወደብ አጠገብ ዝላይ አላቸው እና የዩኤስቢ ወይም የባትሪ ኃይል በእጅ መምረጥን ይፈልጋሉ።
  • አርዱዲኖ ኤንጂ ፕሮግራም ከመጫንዎ በፊት ለጥቂት ሰከንዶች በቦርዱ ላይ የእረፍት ቁልፍን እንዲይዙ ይጠይቃል።

አርዱዲኖ ሜጋ 2560

አርዱዲኖ ሜጋ 2560 ሁለተኛው በጣም የተገናኘው የአርዱዲኖ ቤተሰብ ስሪት ነው። አርዱዲኖ ሜጋ እንደ አርዱዲኖ ኡኖ የንብ ቀፎ ታላቅ ወንድም ነው። እሱ 256 ኪባ ማህደረ ትውስታ (ከኡኖ 8 እጥፍ ይበልጣል)። እንዲሁም 54 የግብዓት እና የውጤት ፒኖች ነበሩት ፣ 16 ቱ የአናሎግ ፒን ፣ 14 ቱ ደግሞ PWM ማድረግ ይችላሉ። ሆኖም ፣ ሁሉም የተጨመረው ተግባር በትንሹ በትልቁ የወረዳ ሰሌዳ ዋጋ ይመጣል። ፕሮጀክትዎን የበለጠ ኃይለኛ ሊያደርግ ይችላል ፣ ግን ደግሞ ፕሮጀክትዎን የበለጠ ያደርገዋል። ለተጨማሪ ዝርዝሮች ኦፊሴላዊውን አርዱዲኖ ሜጋ 2560 ገጽን ይመልከቱ።

አርዱዲኖ ሜጋ ኤ.ዲ.ኬ

ይህ የአርዱዲኖ ልዩ ሥሪት በመሠረቱ ከ Android ዘመናዊ ስልኮች ጋር ለመገናኘት የተቀየሰ አርዱዲኖ ሜጋ ነው። ይህ ደግሞ አሁን የቆየ ስሪት ነው።

አርዱዲኖ ዩን

አርዱዲኖ ዩን ከ ATmega328 ይልቅ የኤቲኤምኤምኤ 32U4 ቺፕ ይጠቀማል። ሆኖም ፣ በእውነት የሚለየው የአቴሮስ AR9331 ማይክሮፕሮሰሰር መጨመር ነው። ይህ ተጨማሪ ቺፕ ይህ ሰሌዳ ከተለመደው የአርዱዲኦ ኦፐሬቲንግ ሲስተም በተጨማሪ ሊኑክስን እንዲሠራ ያስችለዋል። ያ ሁሉ በቂ ባይሆን ኖሮ ፣ እሱ እንዲሁ በመርከብ ላይ የ wifi ችሎታ አለው። በሌላ አነጋገር ፣ ከማንኛውም ሌላ አርዱinoኖ ጋር እንደሚያደርጉት ነገሮችን ለማድረግ ቦርዱን መርሐግብር ማስያዝ ይችላሉ ፣ ግን በ Wi -Fi በኩል ከበይነመረቡ ጋር ለመገናኘት የቦርዱን የሊኑክስ ጎን ማግኘትም ይችላሉ። አርዱinoኖ-ጎን እና ሊኑክስ-ጎን በቀላሉ እርስ በእርስ እርስ በእርስ መገናኘት ይችላሉ። ይህ ይህ ሰሌዳ እጅግ በጣም ኃይለኛ እና ሁለገብ ያደርገዋል። እኔ በዚህ ልታደርጉት የምትችለውን ወለል በጭረት እቧጫለሁ ፣ ግን የበለጠ ለማወቅ ኦፊሴላዊውን የአርዱዲኖ ዩን ገጽን ይመልከቱ።

አርዱዲኖ ናኖ

ከተለመደው የአርዱዲኖ ቦርድ ያነሰ ለመሄድ ከፈለጉ ፣ አርዱዲኖ ናኖ ለእርስዎ ነው! በ ATmega328 ቺፕ ላይ ባለው የወለል ተራራ ላይ በመመስረት ፣ ይህ የአርዱዲኖ ስሪት ወደ ጠባብ ቦታዎች ለመገጣጠም ወደሚችል ትንሽ አሻራ ዝቅ ብሏል። እንዲሁም በቀጥታ ወደ ዳቦ ሰሌዳ ውስጥ ሊገባ ይችላል ፣ ይህም ከእሱ ጋር ለሙከራ ቀላል ያደርገዋል።

አርዱዲኖ ሊሊፓድ

ሊሊፓድ ለለበሱ እና ለኤሌክትሮኒክስ ጨርቆች ትግበራዎች የተነደፈ ነው። እሱ በጨርቃ ጨርቅ መስፋት እና conductive ክር በመጠቀም ከሌሎች ከተሰፋ አካላት ጋር ለመገናኘት የታሰበ ነው። ይህ ሰሌዳ ልዩ የ FTDI-USB TTL ተከታታይ የፕሮግራም ገመድ መጠቀምን ይጠይቃል። ለተጨማሪ መረጃ የአርዱዲኖ ሊሊፓድ ገጽ ጥሩ መነሻ ነጥብ ነው።

(በዚህ ገጽ ላይ ያሉ አንዳንድ አገናኞች የአጋር አገናኞች መሆናቸውን ልብ ይበሉ። ይህ የእቃውን ዋጋ አይቀይረውም። ያገኘሁትን ማንኛውንም ነገር አዲስ ፕሮጀክቶችን ለመሥራት እንደገና አሰማራለሁ። ለአማራጭ አቅራቢዎች ማንኛውንም አስተያየት ከፈለጉ እባክዎን ይፍቀዱልኝ። እወቅ።)

ደረጃ 2: Arduino Uno ባህሪዎች

አርዱዲኖ ኡኖ ባህሪዎች
አርዱዲኖ ኡኖ ባህሪዎች

አንዳንድ ሰዎች መላውን የአርዱዲኖ ቦርድ እንደ ማይክሮ መቆጣጠሪያ አድርገው ያስባሉ ፣ ግን ይህ ትክክል አይደለም። የአርዱዲኖ ቦርድ በእውነቱ ከአቴሜል ማይክሮ መቆጣጠሪያዎች ጋር ለፕሮግራም እና ለፕሮቶታይፕ በልዩ ሁኔታ የተነደፈ የወረዳ ቦርድ ነው።

ስለ አርዱዲኖ ቦርድ ጥሩው ነገር በአንፃራዊነት ርካሽ በመሆኑ በቀጥታ ወደ ኮምፒዩተር የዩኤስቢ ወደብ መግባቱ እና ለማዋቀር እና ለመጠቀም (ከሌሎች የልማት ሰሌዳዎች ጋር ሲወዳደር) ቀላል ነው።

አንዳንድ የአርዱዲኖ ኡኖ ቁልፍ ባህሪዎች የሚከተሉትን ያካትታሉ:

  • ክፍት ምንጭ ንድፍ። ክፍት ምንጭ መሆኑ ጥቅሙ እሱን የሚጠቀም እና መላ ፍለጋ ብዙ የሰዎች ማህበረሰብ መኖሩ ነው። ይህ ፕሮጀክቶችዎን ለማረም የሚረዳዎትን ሰው ማግኘት ቀላል ያደርገዋል።
  • ቀላል የዩኤስቢ በይነገጽ። በቦርዱ ላይ ያለው ቺፕ በቀጥታ ወደ ዩኤስቢ ወደብዎ ይሰካል እና በኮምፒተርዎ ላይ እንደ ምናባዊ ተከታታይ ወደብ ይመዘግባል። በእሱ በኩል ተከታታይ መሣሪያ እንደነበሩ ከእሱ ጋር እንዲገናኙ ያስችልዎታል። የዚህ ቅንብር ጥቅም ተከታታይ ግንኙነት እጅግ በጣም ቀላል (እና በጊዜ የተፈተነ) ፕሮቶኮል ነው ፣ እና ዩኤስቢ ከዘመናዊ ኮምፒተሮች ጋር ማገናኘቱን በእውነት ምቹ ያደርገዋል።
  • በጣም ምቹ የኃይል አስተዳደር እና አብሮገነብ የቮልቴጅ ደንብ። እስከ 12 ቮ ድረስ ያለውን የውጭ የኃይል ምንጭ ማገናኘት ይችላሉ እና ለሁለቱም 5 ቮ እና 3.3 ቪ ይቆጣጠረዋል። እንዲሁም ያለ ውጫዊ ኃይል በቀጥታ ከዩኤስቢ ወደብ ሊነቃ ይችላል።
  • በቀላሉ የሚገኝ ፣ እና ቆሻሻ ርካሽ ፣ የማይክሮ መቆጣጠሪያ “አንጎል”። ATmega328 ቺፕ በዲጂኬ ላይ ወደ 2.88 ዶላር ይሸጣል። እንደ ሰዓት ቆጣሪዎች ፣ የ PWM ፒኖች ፣ የውጭ እና የውስጥ መቋረጦች እና በርካታ የእንቅልፍ ሁነታዎች ያሉ ስፍር ቁጥር የሌላቸው ጥሩ የሃርድዌር ባህሪዎች አሉት። ለተጨማሪ ዝርዝሮች ኦፊሴላዊውን የውሂብ ሉህ ይመልከቱ።
  • 16 ሜኸ ሰዓት። ይህ በአከባቢው በጣም ፈጣኑ የማይክሮ መቆጣጠሪያ አይደለም ፣ ግን ለአብዛኛዎቹ መተግበሪያዎች በቂ ፈጣን ያደርገዋል።
  • ኮድዎን ለማከማቸት 32 ኪባ ፍላሽ ማህደረ ትውስታ።
  • 13 ዲጂታል ፒኖች እና 6 አናሎግ ፒኖች። እነዚህ ፒኖች ውጫዊ ሃርድዌርዎን ከአርዲኖዎ ጋር እንዲያገናኙ ያስችሉዎታል። እነዚህ ፒኖች የአርዲኖን የማስላት ችሎታ ወደ እውነተኛው ዓለም ለማራዘም ቁልፍ ናቸው። ከእያንዳንዱ ፒኖች ጋር በሚዛመዱ ሶኬቶች ውስጥ መሣሪያዎችዎን እና ዳሳሾችዎን በቀላሉ ይሰኩ እና እርስዎ መሄድ ጥሩ ነው።
  • የዩኤስቢ ወደቡን ለማለፍ እና አርዱዲኖን በቀጥታ እንደ ተከታታይ መሣሪያ ለማገናኘት ICSP አያያዥ። ቺፕዎ ከተበላሸ እና ከኮምፒዩተርዎ ጋር መነጋገር ካልቻለ እንደገና ለመጫን ይህ ወደብ አስፈላጊ ነው።
  • ለኮድ በቀላሉ ለማረም ከዲጂታል ፒን 13 ጋር ተያይዞ በቦርዱ ላይ ያለው LED።
  • እና የመጨረሻው ፣ ግን ቢያንስ ፣ ፕሮግራሙን በቺፕ ላይ ዳግም ለማስጀመር።

የአርዱዲኖ ኡኖ ሊያቀርበው የሚገባውን ሙሉ ዝርዝር ለማግኘት ኦፊሴላዊውን የአርዱዲኖ ገጽን መጎብኘትዎን ያረጋግጡ።

ደረጃ 3: Arduino IDE

አርዱዲኖ አይዲኢ
አርዱዲኖ አይዲኢ

በአርዱዲኖ ማንኛውንም ነገር ማድረግ ከመጀመርዎ በፊት አርዱዲኖ አይዲኢ (የተቀናጀ ልማት አከባቢ) ማውረድ እና መጫን ያስፈልግዎታል። ከዚህ ጊዜ ጀምሮ አርዱዲኖ አይዲኢን እንደ አርዱዲኖ ፕሮግራም አውጪ እንጠቅሳለን።

የአርዱዲኖ ፕሮግራመር በሂደት IDE ላይ የተመሠረተ እና የ C እና C ++ የፕሮግራም ቋንቋዎችን ልዩነት ይጠቀማል።

በዚህ ገጽ ላይ በጣም የቅርብ ጊዜውን የ Arduino Programmer ስሪት ማግኘት ይችላሉ።

ደረጃ 4: ይሰኩት

ይሰኩት
ይሰኩት

አርዱዲኖን ከኮምፒዩተርዎ የዩኤስቢ ወደብ ያገናኙ።

ምንም እንኳን አርዱዲኖ ወደ ኮምፒተርዎ ቢሰካም ፣ እውነተኛ የዩኤስቢ መሣሪያ አለመሆኑን ልብ ይበሉ። ወደ ዩኤስቢ ወደብ ሲሰካ ቦርዱ በኮምፒተርዎ ላይ እንደ ምናባዊ ተከታታይ ወደብ እንዲታይ የሚያስችል ልዩ ቺፕ አለው። ለዚህም ነው ቦርዱን መሰካት አስፈላጊ የሆነው። ቦርዱ በማይሰካበት ጊዜ ፣ አርዱዲኖ የሚሠራበት ምናባዊ ተከታታይ ወደብ አይገኝም (ስለእሱ ያለው መረጃ ሁሉ በአርዱዲኖ ቦርድ ላይ ስለሚኖር)።

እንዲሁም እያንዳንዱ አርዱዲኖ ልዩ ምናባዊ ተከታታይ ወደብ አድራሻ እንዳለው ማወቅ ጥሩ ነው። ይህ ማለት የተለየ የአርዱዲኖ ሰሌዳ ወደ ኮምፒተርዎ በሚሰኩበት ጊዜ ሁሉ በጥቅም ላይ ያለውን ተከታታይ ወደብ እንደገና ማዋቀር ያስፈልግዎታል።

አርዱዲኖ ኡኖ ወንድ ዩኤስቢ ሀ ለወንድ የዩኤስቢ ቢ ገመድ ይፈልጋል።

ደረጃ 5 - ቅንብሮች

ቅንብሮች
ቅንብሮች
ቅንብሮች
ቅንብሮች

በአርዱዲኖ ፕሮግራመር ውስጥ ማንኛውንም ነገር ማድረግ ከመጀመርዎ በፊት የቦርዱ ዓይነት እና ተከታታይ ወደብ ማዘጋጀት አለብዎት።

ሰሌዳውን ለማዘጋጀት ወደሚከተለው ይሂዱ

የመሳሪያ ሰሌዳዎች

እርስዎ የሚጠቀሙበትን የቦርድ ስሪት ይምረጡ። እኔ አርዱዲኖ ኡኖ ተሰክቶኛል ፣ እኔ በግልጽ “አርዱዲኖ ኡኖ” ን መርጫለሁ።

ተከታታይ ወደቡን ለማዘጋጀት ወደሚከተለው ይሂዱ

መሣሪያዎች ተከታታይ ወደብ

የሚመስል ተከታታይ ወደብ ይምረጡ ፦

/dev/tty.usbmodem [የዘፈቀደ ቁጥሮች]

ደረጃ 6: ንድፍ ይሳሉ

ንድፍ ይሳሉ
ንድፍ ይሳሉ
ንድፍ ይሳሉ
ንድፍ ይሳሉ
ንድፍ ይሳሉ
ንድፍ ይሳሉ

የአርዱዲኖ ፕሮግራሞች ረቂቆች ተብለው ይጠራሉ። የአርዱዲኖ ፕሮግራም አውጪው ከተጫነ ብዙ ምሳሌዎች ጋር ይመጣል። ይህ በጣም ጥሩ ነው ፣ ምክንያቱም በሕይወትዎ ውስጥ ምንም ነገር በፕሮግራም ባያዘጋጁም ፣ ከእነዚህ ንድፎች ውስጥ አንዱን መጫን እና አርዱዲኖ አንድ ነገር እንዲያደርግ ማድረግ ይችላሉ።

ብልጭ ድርግም ለማለት እና ለማጥፋት ከዲጂታል ፒን 13 ጋር የተሳሰረውን LED ለማግኘት ፣ ብልጭ ድርግም የሚለውን ምሳሌ እንጫን።

ብልጭ ድርግም የሚለው ምሳሌ እዚህ ይገኛል

የፋይሎች ምሳሌዎች መሠረታዊ ብልጭ ድርግም

ብልጭ ድርግም የሚለው ምሳሌ በመሠረቱ ፒ 13 ን እንደ ውፅዓት ያዘጋጃል እና ከዚያ በእያንዳንዱ ሴኮንድ ላይ እና በአርዱዲ ቦርድ ላይ የሙከራ LED ን ያብራል።

ብልጭ ድርግም የሚለው ምሳሌ ከተከፈተ በኋላ ወደ ቀኝ የሚያመላክት ቀስት የሚመስል የሰቀላ ቁልፍን በመጫን በኤቲኤምኤ 322 ቺፕ ላይ ሊጫን ይችላል።

በአርዱዲኖ ላይ ከፒን 13 ጋር የተገናኘው የወለል ተራራ ሁኔታ LED ብልጭ ድርግም እንደሚል ልብ ይበሉ። የዘገየውን ርዝመት በመቀየር እና የሰቀላ ቁልፍን እንደገና በመጫን ብልጭ ድርግም የሚሉበትን ፍጥነት መለወጥ ይችላሉ።

ደረጃ 7 - ተከታታይ ሞኒተር

ተከታታይ ሞኒተር
ተከታታይ ሞኒተር
ተከታታይ ሞኒተር
ተከታታይ ሞኒተር

ተከታታይ ሞኒተር ኮምፒተርዎ ከአርዱዲኖ ጋር በተከታታይ እንዲገናኝ ያስችለዋል። ይህ አስፈላጊ ነው ምክንያቱም የእርስዎ አርዱዲኖ ከአነፍናፊ እና ከሌሎች መሣሪያዎች የሚቀበለውን ውሂብ በመውሰድ በኮምፒተርዎ ላይ በእውነተኛ ጊዜ ስለሚያሳይ። ይህ ችሎታ መኖሩ የእርስዎን ኮድ ለማረም እና ቺ chip በትክክል ምን ዓይነት እሴት እንደሚቀበል ለመረዳት እጅግ ጠቃሚ ነው።

ለምሳሌ ፣ የ potentiometer ን ማዕከላዊ መጥረጊያ (መካከለኛ ፒን) ወደ A0 ፣ እና የውጭ ፒኖችን ፣ በቅደም ተከተል ከ 5 ቪ እና ከመሬት ጋር ያገናኙ። ቀጥሎ ከታች የሚታየውን ንድፍ ይስቀሉ

የፋይል ምሳሌዎች 1. መሰረታዊ አናሎግ አንባቢ

አጉሊ መነጽር የሚመስል ተከታታይ ማሳያውን ለመሳተፍ አዝራሩን ጠቅ ያድርጉ። አሁን በተከታታይ ማሳያ ውስጥ በአናሎግ ፒን የሚነበቡትን ቁጥሮች ማየት ይችላሉ። ቁልፉን ሲያዞሩ ቁጥሮቹ ይጨምራሉ እና ይቀንሳሉ።

ቁጥሮቹ በ 0 እና በ 1023 ክልል መካከል ይሆናሉ። ለዚህ ምክንያቱ የአናሎግ ፒን 0 እና 5V መካከል ያለውን ቮልቴጅ ወደ ልባም ቁጥር በመቀየር ላይ ነው።

ደረጃ 8: ዲጂታል ኢን

ዲጂታል ውስጥ
ዲጂታል ውስጥ

አርዱዲኖ ሁለት የተለያዩ የግብዓት ፒን ዓይነቶች አሉት ፣ እነሱ አናሎግ እና ዲጂታል ናቸው።

ለመጀመር ፣ ዲጂታል የግብዓት ፒኖችን እንይ።

ዲጂታል ግብዓት ካስማዎች ሁለት ሊሆኑ የሚችሉ ግዛቶች ብቻ አሏቸው ፣ እነሱ በርተዋል ወይም ጠፍተዋል። እነዚህ ሁለቱ አብራ እና ውጭ ግዛቶች እንዲሁ ተብለው ይጠራሉ-

  • ከፍተኛ ወይም ዝቅተኛ
  • 1 ወይም 0
  • 5V ወይም 0V።

ይህ ግቤት በተለምዶ ማብሪያ / ማጥፊያ ሲከፈት ወይም ሲዘጋ የቮልቴጅ መኖርን ለመገንዘብ ያገለግላል።

ዲጂታል ግብዓቶች እንዲሁ ስፍር ቁጥር ለሌላቸው የዲጂታል የግንኙነት ፕሮቶኮሎች መሠረት ሆነው ሊያገለግሉ ይችላሉ። 5V (HIGH) pulse ወይም 0V (LOW) pulse በመፍጠር የሁሉም ስሌት መሠረት የሁለትዮሽ ምልክት መፍጠር ይችላሉ። ይህ እንደ ፒንግ አልትራሳውንድ ዳሳሽ ካሉ ዲጂታል ዳሳሾች ጋር ለመነጋገር ወይም ከሌሎች መሣሪያዎች ጋር ለመገናኘት ይጠቅማል።

ለአጠቃቀም ዲጂታል ግብዓት ቀላል ምሳሌ ፣ ከዲጂታል ፒን 2 ወደ 5 ቪ ፣ 10 ኪ resistor ** ከዲጂታል ፒን 2 ወደ መሬት ያገናኙ እና የሚከተለውን ኮድ ያሂዱ።

የፋይል ምሳሌዎች 2. ዲጂታል ቁልፍ

** የ 10 ኪ resistor ማብሪያ / ማጥፊያ በማይጫንበት ጊዜ ዲጂታል ፒኑን ከመሬት ጋር ስለሚያገናኝ የሚጎትት ወደታች መቃወም ይባላል። ማብሪያው ሲጫን ፣ በማዞሪያው ውስጥ ያሉት የኤሌክትሪክ ግንኙነቶች ከተቃዋሚው ያነሰ የመቋቋም ችሎታ አላቸው ፣ እና ኤሌክትሪክ ከአሁን በኋላ ከመሬት ጋር አይገናኝም። ይልቁንም ኤሌክትሪክ በ 5 ቪ እና በዲጂታል ፒን መካከል ይፈስሳል። ይህ የሆነበት ምክንያት ኤሌክትሪክ ሁል ጊዜ አነስተኛውን የመቋቋም መንገድ ስለሚመርጥ ነው። ስለዚህ የበለጠ ለማወቅ የዲጂታል ፒን ገጽን ይጎብኙ።

ደረጃ 9 አናሎግ ወደ ውስጥ

አናሎግ ውስጥ
አናሎግ ውስጥ

ከዲጂታል ግብዓት ካስማዎች በተጨማሪ አርዱዲኖ እንዲሁ በርካታ የአናሎግ ግብዓት ፒኖችን ይኩራራል።

የአናሎግ ግብዓት ካስማዎች የአናሎግ ምልክት ወስደው በ 0 እና 1023 (4.9mV ደረጃዎች) መካከል ወደ ቁጥር ለመቀየር 10 ቢት የአናሎግ-ወደ-ዲጂታል (ADC) ልወጣ ያካሂዳሉ።

ይህ የግቤት አይነት የሚቋቋሙ ዳሳሾችን ለማንበብ ጥሩ ነው። እነዚህ በመሠረቱ ወረዳውን የመቋቋም ችሎታ የሚሰጡ ዳሳሾች ናቸው። እንዲሁም በ 0 እና 5V መካከል የተለያየ የቮልቴጅ ምልክት ለማንበብ ጥሩ ናቸው። ከተለያዩ የአናሎግ ወረዳዎች ጋር ሲገናኝ ይህ ጠቃሚ ነው።

ተከታታይ ማሳያውን ለማሳተፍ በደረጃ 7 ውስጥ ምሳሌውን ከተከተሉ ፣ ቀደም ሲል የአናሎግ ግብዓት ፒን ለመጠቀም ሞክረዋል።

ደረጃ 10 ዲጂታል ውጣ

ዲጂታል ውጣ
ዲጂታል ውጣ

የዲጂታል መውጫ ፒን HIGH (5v) ወይም LOW (0v) እንዲሆን ሊዘጋጅ ይችላል። ይህ ነገሮችን ለማብራት እና ለማጥፋት ያስችልዎታል።

ነገሮችን ከማብራት እና ከማጥፋት (እና ኤልኢዲዎች ብልጭ ድርግም ከማድረግ) በተጨማሪ ፣ ይህ የውጤት ቅጽ ለበርካታ መተግበሪያዎች ምቹ ነው።

በጣም በተለይም በዲጂታል መንገድ እንዲገናኙ ያስችልዎታል። ፒኑን በፍጥነት በማብራት እና በማጥፋት ፣ ስፍር ቁጥር በሌላቸው ሌሎች የኤሌክትሮኒክስ መሣሪያዎች እንደ የሁለትዮሽ ምልክት የሚታወቅ የሁለትዮሽ ግዛቶችን (0 እና 1) እየፈጠሩ ነው። ይህንን ዘዴ በመጠቀም ፣ በርካታ የተለያዩ ፕሮቶኮሎችን በመጠቀም መገናኘት ይችላሉ።

ዲጂታል ግንኙነት የላቀ ርዕስ ነው ፣ ግን ምን ሊደረግ እንደሚችል አጠቃላይ ሀሳብ ለማግኘት ፣ ከሃርድዌር ጋር በይነገጽን ይመልከቱ።

ኤልዲዲ እንዲንጸባረቅ በደረጃ 6 ውስጥ ምሳሌውን ከተከተሉ ፣ ዲጂታል ውፅዓት ፒን ለመጠቀም አስቀድመው ሞክረዋል።

ደረጃ 11: አናሎግ ውጣ

አናሎግ ውጣ
አናሎግ ውጣ

ቀደም ሲል እንደተጠቀሰው አርዱዲኖ በልዩ ተግባራት ውስጥ በርካታ የተገነቡ ናቸው። ከነዚህ ልዩ ተግባራት አንዱ የአርዱዲኖ የአናሎግ መሰል ውፅዓት መፍጠር የሚችልበት የ pulse width modulation ነው።

የ Pulse ስፋት መቀየሪያ - ወይም PWM በአጭሩ - የአናሎግ ምልክትን ለማስመሰል የ PWM ፒን ከፍተኛ (5V) እና ዝቅተኛ (0V) በፍጥነት በማዞር ይሠራል። ለምሳሌ ፣ ኤልኢዲ በፍጥነት ቢያበሩ እና ቢያጠፉ (እያንዳንዳቸው አምስት ሚሊሰከንዶች ያህል) ፣ ብሩህነቱን በአማካይ ይመስላል እና ግማሽ ኃይልን የሚቀበል ብቻ ይመስላል። በአማራጭ ፣ ለ 1 ሚሊሰከንዶች ብልጭ ድርግም ቢል እና ከዚያ ለ 9 ሚሊሰከንዶች ብልጭ ድርግም ቢል ፣ ኤልኢዲ እንደ ብሩህ ሆኖ 1/10 ቮልቴጁን ብቻ ይቀበላል።

PWM ድምፅን ማሰማት ፣ የመብራት ብሩህነትን መቆጣጠር እና የሞተርን ፍጥነት መቆጣጠርን ጨምሮ ለተለያዩ መተግበሪያዎች ቁልፍ ነው።

ለበለጠ ጥልቅ ማብራሪያ ፣ የ PWM ገጽን ምስጢሮች ይመልከቱ።

PWM ን እራስዎ ለመሞከር ፣ በተከታታይ ወደ መሬት አንድ LED እና 220 ohm resistor ን ወደ ዲጂታል ፒን 9 ያገናኙ። የሚከተለውን ምሳሌ ኮድ ያሂዱ

የፋይል ምሳሌዎች 3. አናሎግ ማድረቅ

ደረጃ 12 - የራስዎን ኮድ ይፃፉ

የራስዎን ኮድ ይፃፉ
የራስዎን ኮድ ይፃፉ

የራስዎን ኮድ ለመፃፍ አንዳንድ መሰረታዊ የፕሮግራም ቋንቋ አገባብ መማር ያስፈልግዎታል። በሌላ አነጋገር ፣ ለፕሮግራም አድራጊው እንዲረዳው ኮዱን እንዴት በትክክል ማቋቋም እንደሚቻል መማር አለብዎት። ሰዋሰው እና ሥርዓተ ነጥብን መረዳትን የመሰለ እንደዚህ ዓይነት ማሰብ ይችላሉ። ያለ ትክክለኛ ሰዋሰው እና ሥርዓተ ነጥብ ሙሉ መጽሐፍን መፃፍ ይችላሉ ፣ ግን በእንግሊዝኛ ቢሆን እንኳን ማንም ሊረዳው አይችልም።

የራስዎን ኮድ በሚጽፉበት ጊዜ ማስታወስ ያለብዎት አንዳንድ አስፈላጊ ነገሮች

የአርዱዲኖ ፕሮግራም ንድፍ ተብሎ ይጠራል።

በአርዱዲኖ ንድፍ ውስጥ ያለው ሁሉም ኮድ ከላይ እስከ ታች ይሠራል።

የአርዱዲኖ ንድፎች በተለምዶ በአምስት ክፍሎች ይከፈላሉ።

  1. ንድፍ ብዙውን ጊዜ ንድፉ ምን እየሰራ እንደሆነ እና ማን እንደፃፈው በሚገልፅ ራስጌ ይጀምራል።
  2. በመቀጠል ፣ እሱ ብዙውን ጊዜ ዓለም አቀፍ ተለዋዋጮችን ይገልጻል። ብዙውን ጊዜ ይህ ለተለያዩ የአርዱዲኖ ፒን ቋሚ ስሞች የተሰጡበት ነው።
  3. የመጀመሪያዎቹ ተለዋዋጮች ከተዋቀሩ በኋላ አርዱinoኖ የማዋቀሩን አሠራር ይጀምራል። በማዋቀር ተግባር ውስጥ አስፈላጊ በሚሆንበት ጊዜ የተለዋዋጮችን የመጀመሪያ ሁኔታዎችን እናስቀምጣለን ፣ እና እኛ አንድ ጊዜ ብቻ ለማሄድ የምንፈልገውን ማንኛውንም የመጀመሪያ ኮድ እናካሂዳለን። ተከታታይ ሞኒተሪውን ለማስኬድ የሚያስፈልገው ተከታታይ ግንኙነት የሚጀመርበት ይህ ነው።
  4. ከማዋቀሩ ተግባር ወደ ሉፕ አሠራሩ እንሄዳለን። ይህ የስዕሉ ዋና ተግባር ነው። ንድፍዎ እስኪያልቅ ድረስ ይህ ዋናው ኮድዎ የሚሄድበት ብቻ አይደለም ፣ ግን እሱ በተደጋጋሚ ይፈጸማል።
  5. ከሉፕ አሠራሩ በታች ፣ ብዙውን ጊዜ የተዘረዘሩ ሌሎች ተግባራት አሉ። እነዚህ ተግባራት በተጠቃሚ የተገለጹ እና በማዋቀር እና በሉፕ አሠራሩ ውስጥ ሲጠሩ ብቻ ይንቀሳቀሳሉ። እነዚህ ተግባራት በሚጠሩበት ጊዜ አርዱዲኖ በተግባሩ ውስጥ ያሉትን ሁሉንም ኮዶች ከላይ ወደ ታች ያካሂዳል እና ተግባሩ በሚጠራበት ጊዜ ወደተወገደበት ወደ ቀጣዩ መስመር ይመለሳል። ተመሳሳይ የኮድ መስመሮችን ደጋግመው መጻፍ ሳያስፈልግዎት - መደበኛ አሠራሮችን - ደጋግመው እንዲሠሩ ስለሚፈቅዱ ተግባራት ጥሩ ናቸው። በቀላሉ አንድ ተግባርን ብዙ ጊዜ መደወል ይችላሉ ፣ እና ይህ የተግባር አሠራሩ አንድ ጊዜ ብቻ የተፃፈ ስለሆነ በቺፕ ላይ ማህደረ ትውስታን ያስለቅቃል። እንዲሁም ኮዱን ለማንበብ ቀላል ያደርገዋል። የእራስዎን ተግባራት እንዴት እንደሚፈጥሩ ለማወቅ ይህንን ገጽ ይመልከቱ።

ያ ሁሉ ፣ የግዴታ የሆኑት የስዕሉ ሁለት ክፍሎች ብቻ የ Setup እና Loop ልምዶች ናቸው።

ኮዱ በግምት ሲ ላይ በተመሠረተው አርዱinoኖ ቋንቋ መፃፍ አለበት።

በአርዱዲኖ ቋንቋ የተፃፉት ሁሉም መግለጫዎች ማለት ይቻላል በ

ሁኔታዎች (እንደ መግለጫዎች እና ለሉፕስ ያሉ) ሀ አያስፈልጉም።

ሁኔታዊ ሁኔታዎች የራሳቸው ህጎች አሏቸው እና በአርዱዲኖ ቋንቋ ገጽ ላይ በ “የቁጥጥር መዋቅሮች” ስር ሊገኙ ይችላሉ

ተለዋዋጮች ለቁጥሮች ማከማቻ ክፍሎች ናቸው። እሴቶችን ወደ ተለዋዋጮች ውስጥ እና ወደ ውጭ ማስተላለፍ ይችላሉ። ተለዋዋጮች ጥቅም ላይ ከመዋላቸው በፊት እና ከሱ ጋር የተጎዳኘ የውሂብ ዓይነት እንዲኖራቸው ከመፈለጋቸው በፊት (በኮዱ ውስጥ የተገለጸ) መገለጽ አለባቸው። አንዳንድ መሠረታዊ የመረጃ ዓይነቶችን ለመማር የቋንቋ ገጹን ይከልሱ።

እሺ! ስለዚህ እንበል ከፒን A0 ጋር የተገናኘ የፎቶኮል ንባብ የሚያነብ ኮድ እንጽፍ ፣ እና ከፒን D9 ጋር የተገናኘውን የ LED ብሩህነት ለመቆጣጠር ከፎቶኮሉ የምናገኘውን ንባብ ይጠቀሙ።

በመጀመሪያ ፣ BareMinimum sketch ን መክፈት እንፈልጋለን ፣ በዚህ ላይ ሊገኝ ይችላል-

የፋይል ምሳሌዎች 1. መሠረታዊ ባሬ አነስተኛ

BareMinimum Sketch ይህን መምሰል አለበት ፦

ባዶነት ማዋቀር () {

// የማዋቀር ኮድዎን እዚህ ያስቀምጡ ፣ አንድ ጊዜ ለማሄድ ፦} ባዶነት loop () {// ተደጋጋሚ ለማድረግ ዋና ኮድዎን እዚህ ያስቀምጡ) በመቀጠል ፣ ሌሎች ሰዎች ስለምንሠራው ፣ ለምን እና በምን ውሎች መሠረት እንዲያውቁ በኮድ ላይ አርዕስት እናስቀምጥ

/*

LED Dimmer በጄኒየስ አርዱinoኖ ፕሮግራም አውጪ 2012 በፒን ዲ 9 ላይ የፎቶኮልን በማንበብ ላይ በመመስረት የ LED ን ብሩህነት ይቆጣጠራል ይህ ኮድ በሕዝብ ጎራ ውስጥ ነው// ባዶነት ማዋቀር () {// ለማዋቀር የእርስዎን ኮድ እዚህ ያስቀምጡ ፣ ለማሄድ አንዴ:} ባዶነት loop () {// በተደጋጋሚ ለማሄድ ዋና ኮድዎን እዚህ ያስቀምጡ) ያ ሁሉ አራት እጥፍ ከሆነ ፣ የፒን ስሞችን እንገልፃቸው እና ተለዋዋጮችን እናስቀምጥ

/*

LED Dimmer በጄኒየስ አርዱinoኖ ፕሮግራመር 2012 በፒን ዲ 9 ላይ የፎቶኮል ንባብን መሠረት በማድረግ የ LED ን ብሩህነት ይቆጣጠራል ይህ ኮድ በሕዝብ ጎራ */ // ስም የአናሎግ ፒን 0 ውስጥ ቋሚ ስም const int analogInPin = A0; // ስም ዲጂታል ፒን 9 ቋሚ ስም const int LEDPin = 9; // ተለዋዋጭ የፎቶኮል int photocell ን ለማንበብ; ባዶነት ማዋቀር () {// አንድ ጊዜ ለማሄድ የማዋቀሪያ ኮድዎን እዚህ ያስገቡ) አሁን ተለዋዋጮች እና የፒን ስሞች ተዘጋጅተዋል ፣ ትክክለኛውን ኮድ እንጽፍ

/*

LED Dimmer በጄኒየስ አርዱinoኖ ፕሮግራመር 2012 በፒን ዲ 9 ላይ የፎቶኮል ንባብን መሠረት በማድረግ የ LED ን ብሩህነት ይቆጣጠራል ይህ ኮድ በሕዝብ ጎራ */ // ስም የአናሎግ ፒን 0 ውስጥ ቋሚ ስም const int analogInPin = A0; // ስም ዲጂታል ፒን 9 ቋሚ ስም const int LEDPin = 9; // ተለዋዋጭ የፎቶኮል int photocell ን ለማንበብ; ባዶነት ማዋቀር () {// አሁን እዚህ ምንም የለም) ባዶነት loop () {// አናሎግን በፒን ውስጥ ያንብቡ እና ንባቡን ወደ የፎቶኮል ተለዋዋጭ photocell = analogRead (analogInPin) ያዘጋጁ ፤ // በፎቶኮል አናሎግ የተነበበውን እሴት በመጠቀም የ LED ን ፒን ይቆጣጠሩ ጻፍ (LEDPin ፣ photocell); // ኮዱን ለ 1/10 ሰከንድ // 1 ሰከንድ = 1000 መዘግየት (100); } የአናሎግ ፒን በትክክል ከፎቶኮል እያነበበ ያሉትን ቁጥሮች ለማየት ከፈለግን ተከታታይ ማሳያውን መጠቀም ያስፈልገናል። ተከታታይ ወደቡን እናነቃ እና እነዚያን ቁጥሮች እናወጣ

/*

LED Dimmer በጄኒየስ አርዱinoኖ ፕሮግራመር 2012 በፒን ዲ 9 ላይ የፎቶኮል ንባብን መሠረት በማድረግ የ LED ን ብሩህነት ይቆጣጠራል ይህ ኮድ በሕዝብ ጎራ */ // ስም የአናሎግ ፒን 0 ውስጥ ቋሚ ስም const int analogInPin = A0; // ስም ዲጂታል ፒን 9 ቋሚ ስም const int LEDPin = 9; // ተለዋዋጭ የፎቶኮል int photocell ን ለማንበብ; ባዶነት ማዋቀር () {Serial.begin (9600); } ባዶነት loop () {// አናሎግን በፒን ውስጥ ያንብቡ እና ንባቡን ወደ የፎቶኮል ተለዋዋጭ photocell = analogRead (analogInPin) ያዘጋጁ ፤ // የፎቶኮል ዋጋውን ወደ ተከታታይ ማሳያ Serial.print (“Photocell =”) ያትሙ ፤ Serial.println (photocell); // በፎቶኮል አናሎግ የተነበበውን እሴት በመጠቀም የ LED ን ፒን ይቆጣጠሩ ጻፍ (LEDPin ፣ photocell); // ኮዱን ለ 1/10 ሰከንድ // 1 ሰከንድ = 1000 መዘግየት (100); }ኮድ ስለማዘጋጀት የበለጠ መረጃ ለማግኘት የመሠረቶቹን ገጽ ይጎብኙ። በአርዱዲኖ ቋንቋ እገዛ ከፈለጉ ፣ ከዚያ የቋንቋው ገጽ ለእርስዎ ቦታ ነው።

እንዲሁም ፣ የምሳሌ ንድፍ ገጽ በኮድ ማዛባት ለመጀመር ጥሩ ቦታ ነው። ነገሮችን ለመለወጥ እና ለመሞከር አይፍሩ።

ደረጃ 13 ጋሻዎች

ጋሻዎች
ጋሻዎች

ጋሻዎች በአርዱዲኖ ኡኖ አናት ላይ የሚጣበቁ እና ልዩ ተግባራትን የሚሰጡት የማስፋፊያ apdapter ሰሌዳዎች ናቸው።

አርዱዲኖ ክፍት ሃርድዌር ስለሆነ ፣ ዝንባሌ ያለው ማንኛውም ሰው ለማከናወን ለሚፈልጉት ሥራ ሁሉ የአርዲኖ ጋሻ ለመሥራት ነፃ ነው። በዚህ ምክንያት በዱር ውስጥ ስፍር ቁጥር የሌላቸው የአርዱዲኖ ጋሻዎች አሉ። በአርዱዲኖ መጫወቻ ስፍራ ውስጥ ሁል ጊዜ እያደገ የመጣውን የአርዱዲኖ ጋሻዎች ዝርዝር ማግኘት ይችላሉ። በዚያ ገጽ ላይ በተዘረዘሩት ላይ ከሚያገኙት በላይ ብዙ ጋሻ እንደሚኖር ያስታውሱ (እንደተለመደው ጉግል ጓደኛዎ ነው)።

የአርዱዲኖ ጋሻዎችን ችሎታዎች ትንሽ ስሜት ለመስጠት ፣ ሶስት ኦፊሴላዊ አርዱዲኖ ጋሻዎችን እንዴት እንደሚጠቀሙ እነዚህን መማሪያዎች ይመልከቱ-

  • ሽቦ አልባ ኤስዲ ጋሻ
  • የኤተርኔት ጋሻ
  • የሞተር ጋሻ

ደረጃ 14 - የውጭ ወረዳ መገንባት

የውጭ ወረዳ መገንባት
የውጭ ወረዳ መገንባት

ፕሮጀክቶችዎ የበለጠ ውስብስብ እየሆኑ ሲሄዱ ከአርዱዲኖ ጋር ለመገናኘት የራስዎን ወረዳዎች መገንባት ይፈልጋሉ። በአንድ ሌሊት ኤሌክትሮኒክስን ባይማሩም ፣ በይነመረቡ ለኤሌክትሮኒክ ዕውቀት እና ለወረዳ ዲያግራሞች የማይታመን ሀብት ነው።

በኤሌክትሮኒክስ ለመጀመር ፣ መሰረታዊ የኤሌክትሮኒክስ ትምህርትን ይጎብኙ።

ደረጃ 15 - ባሻገር ማለፍ

ባሻገር መሄድ
ባሻገር መሄድ

ከዚህ በመነሳት የሚቀረው አንዳንድ ፕሮጀክቶችን መስራት ነው። በመስመር ላይ ስፍር ቁጥር የሌላቸው ግሩም የአሩዲኖ ሀብቶች እና ትምህርቶች አሉ።

ኦፊሴላዊውን የአርዱዲኖ ገጽ እና መድረክን ለመመልከት እርግጠኛ ይሁኑ። እዚህ የተዘረዘረው መረጃ በዋጋ ሊተመን የማይችል እና በጣም የተሟላ ነው። ይህ ፕሮጄክቶችን ለማረም ትልቅ ሀብት ነው።

ለአንዳንድ አስደሳች ለጀማሪ ፕሮጀክቶች መነሳሻ ከፈለጉ ፣ 20 የማይታመን የአርዲኖ ፕሮጀክቶች መመሪያን ይመልከቱ።

ለአንድ ሰፊ ዝርዝር ወይም የአርዱዲኖ ፕሮጀክት ፣ የአርዱዲኖ ሰርጥ ለመጀመር ጥሩ ቦታ ነው።

ይሀው ነው. እርስዎ እራስዎ ነዎት።

መልካም ዕድል እና ደስተኛ ጠለፋ!

ምስል
ምስል

ይህ ጠቃሚ ፣ አዝናኝ ወይም አዝናኝ ሆኖ አግኝተውታል? የቅርብ ጊዜ ፕሮጀክቶቼን ለማየት @madeineuphoria ን ይከተሉ።

የሚመከር: