ዝርዝር ሁኔታ:

ቪዲኤችኤልን እና ዚቦን በመጠቀም የቪዲዮ ማቀነባበር -10 ደረጃዎች
ቪዲኤችኤልን እና ዚቦን በመጠቀም የቪዲዮ ማቀነባበር -10 ደረጃዎች

ቪዲዮ: ቪዲኤችኤልን እና ዚቦን በመጠቀም የቪዲዮ ማቀነባበር -10 ደረጃዎች

ቪዲዮ: ቪዲኤችኤልን እና ዚቦን በመጠቀም የቪዲዮ ማቀነባበር -10 ደረጃዎች
ቪዲዮ: ሼክ ኑርጃቲ በሲሬቦን ውስጥ የመጀመሪያ እስላማዊ መስራች 2024, ሀምሌ
Anonim
Image
Image
አዲስ የቪቫዶ ፕሮጀክት ይፍጠሩ
አዲስ የቪቫዶ ፕሮጀክት ይፍጠሩ

ብዙ ስሌቶችን በትይዩ መስራት ስለሚችሉ FPGAs ከሲፒዩዎች የበለጠ ፈጣን ናቸው

ማሳሰቢያ - ይህ ፕሮጀክት ገና በመገንባት ላይ ነው እና ይሻሻላል (ጊዜ እንዳገኘሁ)። ይህ በእንዲህ እንዳለ እኔ ዓለምን እጓዛለሁ…..

ደረጃ 1 አዲስ የቪቫዶ ፕሮጀክት ይፍጠሩ

አዲስ የቪቫዶ ፕሮጀክት ይፍጠሩ
አዲስ የቪቫዶ ፕሮጀክት ይፍጠሩ
አዲስ የቪቫዶ ፕሮጀክት ይፍጠሩ
አዲስ የቪቫዶ ፕሮጀክት ይፍጠሩ

ቪቫዶን ከከፈቱ በኋላ በመጀመሪያ ሊሠሩበት በሚፈልጉት የሥራ ቦታዎ ላይ አዲስ ፕሮጀክት መፍጠር ያስፈልግዎታል።

በኋላ ፕሮጀክትዎን ይሰይሙ እና የፕሮጀክቱን ቦታ ይምረጡ።

ዚቦ እንደ ዒላማ ቦርድ ወይም ሊጠቀሙበት የሚፈልጉትን ሌላ የዚንክ ቦርድ ይምረጡ።

ደረጃ 2 ከመሠረታዊ ጋር የማገጃ ሥዕልን ይፍጠሩ

ከመሠረታዊ ጋር የማገጃ ሥዕልን ይፍጠሩ
ከመሠረታዊ ጋር የማገጃ ሥዕልን ይፍጠሩ
ከመሠረታዊ ጋር የማገጃ ሥዕልን ይፍጠሩ
ከመሠረታዊ ጋር የማገጃ ሥዕልን ይፍጠሩ
ከመሠረታዊ ጋር የማገጃ ሥዕልን ይፍጠሩ
ከመሠረታዊ ጋር የማገጃ ሥዕልን ይፍጠሩ

አዲስ የ Zynq_Processing_system ዲያግራም ያክሉ።

እንዲሁም አይፒዎችን Dvi2rgb እና rgb2vga ያክሉ

ለእነዚህ ብሎኮች ተጨማሪ ሁለት ቋሚዎች የኤችዲኤምአይ ወደብን እንደ መታጠቢያ ገንዳ ለማዋቀር ያገለግላሉ።

[ይቅርታ ፣ በተባዛ ይዘት ምክንያት ይህ መማሪያ ከዚህ ተወግዷል… ወደ መጀመሪያው ድር ጣቢያ www.

ደረጃ 3 የ VHDL ግብዓት ያስገቡ

የ VHDL ግብዓት ያስገቡ
የ VHDL ግብዓት ያስገቡ
የ VHDL ግብዓት ያስገቡ
የ VHDL ግብዓት ያስገቡ
የ VHDL ግብዓት ያስገቡ
የ VHDL ግብዓት ያስገቡ

ለዚያ የተጠቃሚው እገዳ መፈጠር አለበት ፣ ለዚያ ctrl+A ን ይጫኑ ወይም በግራ ፓነል ውስጥ “አዲስ ምንጭ ለማከል” ይፈልጉ።

ከ VHDL ጋር አዲስ ፋይል ይፍጠሩ እና ወደ ስዕሉ ያክሉ እና ከዚያ የ VHDL ኮዱን ያርትዑ።

[ይቅርታ ፣ በተባዛ ይዘት ምክንያት ይህ ትምህርት ከዚህ ተወግዷል… ወደ መጀመሪያው ድር ጣቢያ www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

ደረጃ 4 እንደ ሊድ ፣ አዝራሮች ወይም ተንሸራታቾች ያሉ ተጨማሪ የመርከብ ተሳፋሪዎችን ያክሉ (አማራጭ)

እንደ ሊድስ ፣ አዝራሮች ወይም ተንሸራታቾች ያሉ ተጨማሪ የመርከብ ተሳቢዎችን አክል (አማራጭ)
እንደ ሊድስ ፣ አዝራሮች ወይም ተንሸራታቾች ያሉ ተጨማሪ የመርከብ ተሳቢዎችን አክል (አማራጭ)

በኋላ ላይ ሊቻል የሚችል አንዳንድ ተንሸራታቾች እና ሊድ ለማከል።

[ይቅርታ ፣ በተባዛ ይዘት ምክንያት ይህ ትምህርት ከዚህ ተወግዷል… ወደ መጀመሪያው ድር ጣቢያ www.miscircuitos.com/video-processing-using-vhdl-…]

ደረጃ 5: አሁን የ VHDL ኮድዎን በመተግበሪያው እገዳ ላይ ማስገባት ይችላሉ

አሁን በመተግበሪያ እገዳው ላይ የ VHDL ኮድዎን ማስገባት ይችላሉ
አሁን በመተግበሪያ እገዳው ላይ የ VHDL ኮድዎን ማስገባት ይችላሉ

አንዴ የቪድዮ ፕሮሰሲንግ ሞዱሉን ካከሉ ፣ ኮዱን ለማርትዕ ይጫኑ። የመነሻ ኮድ ምሳሌ ተያይ attachedል

[ይቅርታ ፣ በተባዛ ይዘት ምክንያት ይህ ትምህርት ከዚህ ተወግዷል… ወደ መጀመሪያው ድር ጣቢያ www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

ደረጃ 6: መጠቅለያ ይፍጠሩ

መጠቅለያ ይፍጠሩ
መጠቅለያ ይፍጠሩ
መጠቅለያ ይፍጠሩ
መጠቅለያ ይፍጠሩ

የማገጃውን ንድፍ ለማቀናጀት ከዚህ በፊት መጠቅለያ ያድርጉ።

ደረጃ 7 ውህደት ፣ ትግበራ እና Bitstream ትውልድ

ውህደት ፣ ትግበራ እና Bitstream ትውልድ
ውህደት ፣ ትግበራ እና Bitstream ትውልድ

ገደቦችን የፒን መግለጫዎችን ያክሉ። ተያይ attachedል።

ውህደቱን ያካሂዱ። በኋላ ትግበራ እና ከዚያ ወደ ኤፍኤፒጂኤ የሚጫነውን የትንሽ ፍሰት ያመነጫል።

ደረጃ 8 - ሃርድዌርን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ

ሃርድዌርን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ
ሃርድዌርን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ
ሃርድዌርን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ
ሃርድዌርን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ
ሃርድዌርን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ
ሃርድዌርን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ
ሃርድዌርን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ
ሃርድዌርን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ

ሃርድዌሩን ወደ ውጭ ይላኩ እና ኤስዲኬን ያስጀምሩ።

ደረጃ 9 አዲስ የቦርድ ድጋፍ ጥቅል እና አዲስ ትግበራ ይፍጠሩ

አዲስ የቦርድ ድጋፍ ጥቅል እና አዲስ ትግበራ ይፍጠሩ
አዲስ የቦርድ ድጋፍ ጥቅል እና አዲስ ትግበራ ይፍጠሩ
አዲስ የቦርድ ድጋፍ ጥቅል እና አዲስ ትግበራ ይፍጠሩ
አዲስ የቦርድ ድጋፍ ጥቅል እና አዲስ ትግበራ ይፍጠሩ
አዲስ የቦርድ ድጋፍ ጥቅል እና አዲስ ትግበራ ይፍጠሩ
አዲስ የቦርድ ድጋፍ ጥቅል እና አዲስ ትግበራ ይፍጠሩ

የቦርድ ድጋፍ ጥቅል ይፍጠሩ። ከዚያ አብነት “የሰላም ቃል” ን በመጠቀም መተግበሪያን ይክፈቱ ወይም ይፍጠሩ።

ከዚያ FPGA ን ያሂዱ

ደረጃ 10 የፕሮግራም FPGA እና የሰላም የዓለም ኮድ ያሂዱ

Image
Image
ፕሮግራም FPGA እና የሰላም የዓለም ኮድ ያሂዱ
ፕሮግራም FPGA እና የሰላም የዓለም ኮድ ያሂዱ

ይህ የቀለም ምሳሌዎች የሚለዋወጡበት ትንሽ ምሳሌ ብቻ ነው።

አመሰግናለሁ!

[ይቅርታ ፣ በተባዛ ይዘት ምክንያት ይህ ትምህርት ከዚህ ተወግዷል… ወደ መጀመሪያው ድር ጣቢያ www.miscircuitos.com/video-processing-using-vhdl-and-zybo-board]

የሚመከር: