ዝርዝር ሁኔታ:

የእንቅስቃሴ ዳሳሽ LED: 8 ደረጃዎች
የእንቅስቃሴ ዳሳሽ LED: 8 ደረጃዎች

ቪዲዮ: የእንቅስቃሴ ዳሳሽ LED: 8 ደረጃዎች

ቪዲዮ: የእንቅስቃሴ ዳሳሽ LED: 8 ደረጃዎች
ቪዲዮ: ጤና Qigong "Baduanjin" / 8 ቁርጥራጮች brocade / ዕለታዊ የቻይና ውስብስብ. 2024, ሀምሌ
Anonim
Image
Image

ዳራ ፦

ወደ ክፍል ወይም ሥራ ከመሄድዎ በፊት ወይም ከመተኛትዎ በፊት መብራት ማጥፋት መቼም ይረሳሉ? እርስዎ በማይጠቀሙበት ጊዜ እነዚያ ሰዓቶች መብራቶቹ በርተው በወጪ እና በሃይል ኪሳራ ውስጥ ሊጨመሩ ይችላሉ። ለምሳሌ ፣ በ solarcity.com መሠረት ፣ ሌሊቱን ሙሉ መብራቱን ለሳምንት መተው ለኤሌክትሪክ ክፍያዎ 25 ዶላር ሊጨምር ይችላል! ለ CPE133 ፕሮጀክታችን ሰዎች በቤታቸው ውስጥ ኃይልን እንዲቆጥቡ እና መብራቶቻቸውን በብቃት እንዲጠቀሙ ለማገዝ የእንቅስቃሴ ዳሳሽ ብርሃንን ዲዛይን እናደርጋለን።

የእኛ ስርዓት በተግባር -

በተግባር ፣ መብራቶቹ የሚበሩት አነፍናፊ በክፍሉ ውስጥ እንቅስቃሴን ሲያገኝ ብቻ ነው። ከዚያ መብራቶቹ ለተወሰነ ጊዜ እንደ 30 ደቂቃዎች አካባቢ ይቆያሉ ፣ ከዚያ በራስ -ሰር ያጥፉ። ነገር ግን እርስዎ ያልፉ ወይም የተቀመጠው የጊዜ መጠን ከማለቁ በፊት ክፍሉን ቀደም ብለው ለመልቀቅ ፈልገዋል እንበል። ለእነዚያ አጋጣሚዎች መብራቶቹን በእጅዎ ማብራት ወይም ማጥፋት የሚችሉበት አንድ አዝራር ጭነን ነበር። መብራቶቹ በእጅ ወይም በራስ -ሰር (መብራቶቹ በእጅ ካልጠፉ በስተቀር) መብራቶቹ ለ 30 ደቂቃዎች እንደሚቆዩ ልብ ይበሉ።

በቦርዱ ላይ ማስመሰል;

ሰዓት ቆጣሪው እንደሚሰራ ለማየት ሰዓት ቆጣሪውን ወደ 1 ደቂቃ ቀይረነዋል።

ቁሳቁሶች:

  • 1 Basys ሰሌዳ (እዚህ ከዲጂሊንት አንድ ማግኘት ይችላሉ)
  • 1 PIR የእንቅስቃሴ ዳሳሽ (እዚህ በአማዞን ላይ አንዱን ማግኘት ይችላሉ)
  • 1 የዳቦ ሰሌዳ እና ኪት (ይህንን ከአማዞን እንዲጠቀሙ እንመክራለን)
  • ከላይ ካለው ኪት

    • 1 ኤል.ዲ
    • 3 ሴት ወደ ወንድ ዝላይ ኬብሎች
    • 6 ወንድ ከወንድ ዝላይ ኬብሎች

ደረጃ 1 ሰዓት ቆጣሪ

ኤልኢዲው ለ 1 ደቂቃ እንዲቆይ ፣ መጀመሪያ ሰዓት ቆጣሪ መፍጠር አለብን። የባሲስ 3 ቦርድ የ 100 ሜኸ ውስጣዊ ድግግሞሽ ስላለው 100 ሚሊዮን ዑደቶችን ከ 1 ሴኮንድ ጋር እኩል ያደርገዋል። ይህ ለ “t_cnt” እንደ ከፍተኛ ቁጥር ሆኖ የሚያገለግል እንደ ተለዋዋጭ ሆኖ ያገለግላል። Basys 3 ቦርድ አንድ ዑደት ሲያጠናቅቅ t_cnt በ 1 ይጨምራል። አንዴ 100 ሚሊዮን ምልክት ከደረሰ በኋላ እንደገና ይጀመራል እና ሌላ ተለዋዋጭ ፣ “ሰከንድ” ፣ በ 1. ይጨምራል። ይህ “ሰከንድ” ተለዋዋጭ ያለፈውን የሰከንዶች ብዛት ይወክላል እና አንዴ ያ ተለዋዋጭ ከ 60 ጋር እኩል ከሆነ ፣ አንድ ሙሉ ደቂቃ አለፈ።

ከዚህ በታች ያለውን ኮድ ሰዓት ቆጣሪ በሚባል የ vhdl ምንጭ ፋይል ውስጥ ይቅዱ።

አካል COUNT_8B ነው

ወደብ (ዳግም አስጀምር: በ std_logic;

CLK: በ std_logic; ቲ: ውጭ std_logic: = '0');

መጨረሻ COUNT_8B;

የ COUNT_8B ሥነ ሕንፃ የእኔ_ቁጥር ነው

ቋሚ max_count: ኢንቲጀር = = (100000000); -ምልክት t_cnt: std_logic_vector (7 ወደ 0): = "00000000"; ምልክት t_cnt: ኢንቲጀር = = (0); ሂደት (CLK ፣ RESET ፣ t_cnt) ተለዋዋጭ ሰከንድ: ኢንቲጀር = 0; ከሆነ (የሚነሳው_ጅጅ (CLK)) ከዚያም (RESET = '1') ከሆነ ከዚያ t_cnt <= (0); - ግልጽ elsif (t_cnt = max_count) ከዚያ- max_count 100 ሚሊዮን ሲሆን ይህም ከ 1 ሰከንድ t_cnt ጋር እኩል ነው <= (0); - የውስጥ ሰዓቱን ወደ 0 ሰከንድ- = ሰከንድ + 1; - የእኛን 'ዘገምተኛ ሰዓት' በ 1 ይጨምራል (ሰከንድ = 60) ከዚያ- አንዴ 60 ሰከንዶች ከደረሰ ከዚያ ከፍተኛውን ሰከንድ ደርሷል = 0; - "ዘገምተኛ ሰዓት" ወደ 0 T <= '1' ዳግም ያስጀምራል ፤ ከሆነ ያበቃል; ሌላ t_cnt <= t_cnt + 1; - የውስጥ ሰዓቱን T <= '0' ይጨምራል; ከሆነ ያበቃል; ከሆነ ያበቃል; የማጠናቀቂያ ሂደት; የእኔን_ቁጥር ጨርስ;

ደረጃ 2 የአዝራር ማመቻቸት

LED
LED

በባሲስ ቦርዶች ውስጥ ያለው ድግግሞሽ በጣም ከፍተኛ (በ 100 ሜኸር አካባቢ) ለባሲ ቦርድ ትንሽ ጊዜ ነው ብለው የሚያስቡትን ሲጫኑ 100,000 ጊዜ ይጭኑት ነበር። ይህ መብራቱ በማብራት እና በማጥፋት ሁኔታ መካከል በፍጥነት እንዲንሸራተት ያደርገዋል። ብልጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭጭamkaስኣዚኣ_ኣቦ_ዲዛይንን በመፍጠር አዝራሩን ለማመቻቸት ሞክረናል።

D-Flip-flops እያንዳንዱን ግዛት ይይዛል ከዚያም በሂደት መግለጫው ውስጥ የስቴቱን ሽግግሮች እንገልፃለን።

አዝራሩን ወደሚከተለው vhdl ምንጭ ፋይል ከዚህ በታች ያለውን ኮድ ይቅዱ።

ቤተ -መጽሐፍት IEEE ፣ IEEE. STD_LOGIC_1164. ALL ን ይጠቀሙ።

የሕጋዊ አካል አዝራር ነው

ወደብ (btn: በ STD_LOGIC ፣ clk: በ STD_LOGIC ፣ E: out STD_LOGIC) ፤ የመጨረሻ አዝራር;

ሥነ ሕንፃ የአዝራር ባህሪ ነው

state_type ይተይቡ (PRESSED, NP); ምልክት PS ፣ NS: state_type: = NP;

ጀምር

seq_proc: ሂደት (NS ፣ clk) የሚጀምረው ከሆነ (እያደገ_ጅ (clk)) ከዚያ PS <= NS; ከሆነ ያበቃል; የማጠናቀቂያ ሂደት seq_proc;

ns_proc: ሂደት (btn ፣ PS)

case case PS ማለት NP => ከሆነ (btn = '1') ከዚያም NS <= PRESSED; ሠ <= '1'; ሌላ NS <= NP; ኢ ከሆነ (btn = '0') ከዚያም NS <= NP; ሠ <= '0'; ሌላ NS <= ተጭኗል; ሠ <= '0'; ከሆነ ያበቃል; የመጨረሻ ጉዳይ; የማጠናቀቂያ ሂደት ns_proc;

የባህሪ መጨረሻ;

ደረጃ 3: LED

LED ሁለት ግዛቶች አሉት - ጠፍቷል (ወይም IDLE) እና በርቷል። ቀደም ሲል እንደተነገረው ግዛቶቹ በዲ-ፍሊፕ-ፍሎፕ ውስጥ ይከማቻሉ። አነፍናፊው እንቅስቃሴን (S = 1) ካወቀ ወይም አንድ አዝራር ሲጫን (E = 1) መብራቱ ይለወጣል። ሰዓት ቆጣሪው 1 ደቂቃ (T = 1) ወይም አንድ አዝራር ሲጫን በእጅ (ኢ = 1) ከሆነ LED በራስ -ሰር ይጠፋል።

ከዚህ በታች ያለውን ኮድ ኤልዲ በተባለ የ vhdl ምንጭ ፋይል ውስጥ ይቅዱ።

አካል motion_sensored_light ወደብ ነው (ኤስ ፦ በ STD_LOGIC ውስጥ - - ሲሶነር ፤ ወደብ JA10/ፒን G3 ኢ በ STD_LOGIC ውስጥ - - ለራስ ተግባር የውጭ አዝራር ፤ የማዕከል አዝራር ቲ - በ STD_LOGIC ውስጥ - - ሰዓት ቆጣሪው ከፍተኛ ጊዜ ሲደርስ ፤ ከሰዓት ቆጣሪ LED: ውጭ STD_LOGIC; - light TRST: out STD_LOGIC; - የሰዓት ቆጣሪ clk ዳግም ያስጀምራል ፦ በ STD_LOGIC ውስጥ); - ግዛቶች መጨረሻ motion_sensored_light ን የሚይዘው ለ Flip flop clk;

አርክቴክቸር የእንቅስቃሴ_ሰንሰሩ_ብርሃን ባህሪ ነው

state_type ይተይቡ (ST0 ፣ ST1); --ST0 = IDLE ፣ ST1 = LED HIGH

ምልክት PS ፣ NS: state_type: = ST0; - የአሁን ግዛት እና ቀጣይ ግዛት ፣ በ ST0 IDLE ይጀምራል

ጀምር

- የመገልበጥ ተንሸራታች የማገጃ ሂደት- የዘመኑ ሁኔታ እየጨመረ ነው። ከሆነ ያበቃል; የማጠናቀቂያ ሂደት seq_proc;

ns_proc: ሂደት (ኤስ ፣ ኢ ፣ ቲ ፣ ፒኤስ)

start case PS መቼ ST0 => LED <= '0'; - ለሥራ ፈት ሁኔታ TRST <= '1' ውጤቶች ከሆነ (S = '0' ወይም E = '1') ከዚያ - ግብዓቶች ከ st0 ወደ st1 NS <= ST1; ሌላ NS LED <= '1'; - በስቴቱ TRST <= '0' ላይ ውፅዓት; ከሆነ (E = '1' ወይም T = '1') ከዚያ - ግብዓቶች ከ st1 ወደ st0 NS <= ST0; ሌላ NS <= ST1; ከሆነ ያበቃል; የመጨረሻ ጉዳይ; የማጠናቀቂያ ሂደት ns_proc;

የባህሪ መጨረሻ;

ደረጃ 4 - ከፍተኛ ፋይል

አሁን ሁሉንም ሌሎች ፋይሎቻችንን ወደ አንድ ወደብ ልናስገባ ነው።

ከላይ ያለውን ኮድ Top_File ወደሚባል የ vhdl ምንጭ ፋይል ይቅዱ።

ቤተ -መጽሐፍት IEEE ፣ IEEE. STD_LOGIC_1164. ALL ን ይጠቀሙ።

አካል Top_File ነው

ወደብ (ኤስ: በ STD_LOGIC: = '1'; - sesnor; ወደብ JA10/ፒን G3 btn: በ STD_LOGIC: = '0'; - በእጅ የሚሰራ ውጫዊ አዝራር ፣ የመሃል አዝራር LED: ከ STD_LOGIC ውጭ - - ቀላል ክሊክ በ STD_LOGIC ውስጥ); - ግዛቶችን መጨረሻውን_ይይዙን የሚይዝ Flip flop clk;

ሥነ ሕንፃ የ Top_File ባህሪ ነው

አካል COUNT_8B ነው

ወደብ (ዳግም አስጀምር ፦ በ std_logic: = '0' ፤ CLK ፦ በ std_logic ፤ T: out std_logic: = '0') ፤ የመጨረሻ ክፍል; ክፍል motion_sensored_light ወደብ ነው (ኤስ ፦ በ STD_LOGIC ውስጥ - - ሲሶነር ፤ ወደብ JA10/ፒን G3 E በ STD_LOGIC ውስጥ - - ለራስ ተግባር የውጭ አዝራር ፤ የማዕከል አዝራር ቲ - በ STD_LOGIC ውስጥ - - ሰዓት ቆጣሪው ከፍተኛ ጊዜ ሲደርስ ፤ ከሰዓት ቆጣሪ LED: ውጭ STD_LOGIC; - ብርሃን TRST: ውጭ STD_LOGIC; - የሰዓት ቆጣሪ clk ዳግም ያስጀምራል ፦ በ STD_LOGIC ውስጥ); - ግዛቶች የመጨረሻውን ክፍል የሚይዘው ለ Flip flop clk; የአካላት ቁልፍ ወደብ (btn በ STD_LOGIC ፣ clk በ STD_LOGIC ፣ E: out STD_LOGIC); የመጨረሻ ክፍል; ምልክት t_reached_c: std_logic; - ምልክት r_time_c: std_logic; - የምልክት አዝራር_c: std_logic;

ጀምር

ሰዓት ቆጣሪ ፦ COUNT_8B ወደብ ካርታ (ዳግም ማስጀመር => r_time_c ፣ CLK => CLK ፣ T => t_reached_c); motion_sensor: motion_sensored_light ወደብ ካርታ (S => S, E => button_c, T => t_reached_c, LED => LED, TRST => r_time_c, clk => clk); button_controller: አዝራር ወደብ ካርታ (btn => btn, clk => clk, E => button_c); የባህሪ መጨረሻ;

ደረጃ 5 - ገደቦች ፋይል

አሁን የእኛ ግብዓቶች እና ውጤቶች በቦርዱ ላይ የት እንደሚገኙ መግለፅ አለብን።

ከዚህ በታች ያለውን ኮድ ገደቦች ወደሚሉት የ vhdl ገደቦች ፋይል ይቅዱ።

## ይህ ፋይል ለ Basys3 rev B ቦርድ አጠቃላይ.xdc ነው ## በፕሮጀክት ውስጥ ለመጠቀም - ## - ከተጠቀሙባቸው ፒኖች ጋር የሚዛመዱ መስመሮችን አለመቀበል ## - ያገለገሉትን ወደቦች (በእያንዳንዱ መስመር ፣ ከ get_ports በኋላ) እንደገና ይሰይሙ። በፕሮጀክቱ ውስጥ ወደ ከፍተኛ የምልክት ስሞች

## የሰዓት ምልክት

set_property PACKAGE_PIN W5 [get_ports clk] set_property IOSTANDARD LVCMOS33 [get_ports clk] create_clock -add -name sys_clk_pin -period 10.00 -waformform {0 5} [get_ports clk] ## Switches #set_property PACKAGE_PIN V17 [0] set_property IOSTANDARD LVCMOS33 [get_ports {sw [0]}] #set_property PACKAGE_PIN V16 [get_ports {sw [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [1]}] #set_property PACKAGE_PIN W16 [get] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [2]}] #set_property PACKAGE_PIN W17 [get_ports {sw [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [3]}] #set_property PACKAGE_PIN W15]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [4]}] #set_property PACKAGE_PIN V15 [get_ports {sw [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [5]}] #set_property PACKAGE_PIN WAC [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [6]}] #set_property PACKAGE_PIN W13 [get_ports {sw [7]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [7]}] #set_property PACKAGE_PIN V2 [get_ports {sw [8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [8]}] #set_protyty PACKAGE_PIN T3 [get_ports {sw [9]}] #set LVCMOS33 [get_ports {sw [9]}] #set_property PACKAGE_PIN T2 [get_ports {sw [10]}] #set_protyty IOSTANDARD LVCMOS33 [get_ports {sw [10]}] #set_property PACKAGE_PIN R3 [get_ports {sw [11]}] # # set_property IOSTANDARD LVCMOS33 [get_ports {sw [11]}] #set_property PACKAGE_PIN W2 [get_ports {sw [12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [12]}] #set_property PACKAGE_PIN U1 [get] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [13]}] #set_property PACKAGE_PIN T1 [get_ports {sw [14]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [14]}] #set_property PACKAGE_PIN R2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {sw [15]}]

## LEDs

#set_property PACKAGE_PIN U16 [get_ports {led [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [0]}] #set_property PACKAGE_PIN E19 [get_ports {led [1]}] #set_property IOSTANDARD LVCMOS33 }] #set_property PACKAGE_PIN U19 [get_ports {led [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [2]}] #set_property PACKAGE_PIN V19 [get_ports {led [3]}] #set_property IOSTANDARD LVCMOS33 3]}] #ቅንብር_ሀብት PACKAGE_PIN W18 [get_ports {led [4]}] #set_property IOSTANDARD LVCMOS33 መሪ [5]}] #የቅንብር_ብዛት PACKAGE_PIN U14 [get_ports {led [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [6]}] get_ports {led [7]}] #set_property PACKAGE_PIN V13 [get_ports {led [8]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [8]}] #set_property PACKAGE_PIN V3 [get_ports {led [9]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [9]}] #set_property PACKAGE_PIN W3 [get_ports {led [10]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [10]}] #set_property PACKAGE_PIN U3 [11]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [11]}] #set_property PACKAGE_PIN P3 [get_ports {led [12]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [12]}] #set_property NAC3 {led [13]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [13]}] #set_property PACKAGE_PIN P1 [get_ports {led [14]}] [get_ports {led [15]}] #set_property IOSTANDARD LVCMOS33 [get_ports {led [15]}] ## 7 segment display #set_property PACKAGE_PIN W7 [get_ports {seg [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [0]}] #set_property PACKAGE_PIN W6 [get_ports {seg [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [1]}] #set_property PACKAGE_PIN U8 [get_ports {seg [2]}] #set_property IOSTA NDARD LVCMOS33 [get_ports {seg [2]}] #set_property PACKAGE_PIN V8 [get_ports {seg [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [3]}] #set_property PACKAGE_PIN U5 [get_ports {seg #set_property IOSTANDARD LVCMOS33 [get_ports {seg [4]}] #set_property PACKAGE_PIN V5 [get_ports {seg [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [5]}] #set_property PACKAGE_PIN U7 }] #set_property IOSTANDARD LVCMOS33 [get_ports {seg [6]}]

#ንብረት_አዘጋጅነት PACKAGE_PIN V7 [ኤፕፖርቶችን dp ያግኙ]

#ንብረት_እሴት / ስብስብ IOSTANDARD LVCMOS33 [get_ports dp]

#ንብረት_ሀብት PACKAGE_PIN U2 [get_ports {an [0]}]

#set_property IOSTANDARD LVCMOS33 [get_ports {an [0]}] #set_property PACKAGE_PIN U4 [get_ports {an [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an [1]}] #set_property PACKAGE_PIN V4 [get }] #set_property IOSTANDARD LVCMOS33 [get_ports {an [2]}] #set_property PACKAGE_PIN W4 [get_ports {an [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {an [3]}]

## አዝራሮች

set_property PACKAGE_PIN U18 [get_ports btn] set_property IOSTANDARD LVCMOS33 [get_ports btn] #set_property PACKAGE_PIN T18 [get_ports btnU] #set_property IOSTANDARD LVCMOS33 [get_ports btnU] #set_property PACKAGE_PIN W19 [get_ports btnL] #set_property IOSTANDARD LVCMOS33 [get_ports btnL] #set_property PACKAGE_PIN T17 [get_ports btnR] #set_property IOSTANDARD LVCMOS33 [get_ports btnR] #set_property PACKAGE_PIN U17 [get_ports btnD] #set_property IOSTANDARD LVCMOS33 [get_ports btnD]

## Pmod ራስጌ ጃ

## Sch name = JA1 #set_property PACKAGE_PIN J1 [get_ports {JA [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [0]}] ## Sch name = JA2 #set_property PACKAGE_PIN L2 [get_ports {JA [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [1]}] ## Sch name = JA3 #set_property PACKAGE_PIN J2 [get_ports {JA [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [2]}] ## Sch name = JA4 #set_property PACKAGE_PIN G2 [get_ports {JA [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JA [3]}] ## Sch name = JA7 #set_property PACKAGE_PIN H1 [get_ports {JA [4]}] #set_pro LVCMOS33 [get_ports {JA [4]}] ## Sch name = JA8 set_property PACKAGE_PIN K2 [get_ports LED] set_property IOSTANDARD LVCMOS33 [get_ports LED] ## Sch name = JA9 #set_property PACKAGE_PIN H2 [get_ports {JA [set_property IOSTANDARD LVCMOS33 [get_ports {JA [6]}] ## Sch name = JA10 set_property PACKAGE_PIN G3 [get_ports S] set_property IOSTANDARD LVCMOS33 [get_ports S]

## Pmod ራስጌ JB

## Sch name = JB1 #set_property PACKAGE_PIN A14 [get_ports {JB [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [0]}] ## Sch name = JB2 #set_property PACKAGE_PIN A16 [get_ports {JB [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [1]}] ## Sch name = JB3 #set_property PACKAGE_PIN B15 [get_ports {JB [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [2]}] ## Sch name = JB4 #set_property PACKAGE_PIN B16 [get_ports {JB [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [3]}] ## Sch name = JB7 #set_property PACKAGE_PIN A15 [get_ports {JB [4]}] #set LVCMOS33 [get_ports {JB [4]}] ## Sch name = JB8 #set_property PACKAGE_PIN A17 [get_ports {JB [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [5]}] ## Sch name = JB9 #set_property PACKAGE_PIN C15 [get_ports {JB [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JB [6]}] ## Sch name = JB10 #set_property PACKAGE_PIN C16 [get_ports {JB [7]}] #set_property IOSTANDARD LVC JB [7]}]

## Pmod ራስጌ JC

## Sch name = JC1 #set_property PACKAGE_PIN K17 [get_ports {JC [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [0]}] ## Sch name = JC2 #set_property PACKAGE_PIN M18 [get_ports {JC [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [1]}] ## Sch name = JC3 #set_property PACKAGE_PIN N17 [get_ports {JC [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [2]}] ## Sch name = JC4 #set_property PACKAGE_PIN P18 [get_ports {JC [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [3]}] ## Sch name = JC7 #set_property PACKAGE_PIN L17 [get_ports {JC [4]}] #set_pro LVCMOS33 [get_ports {JC [4]}] ## Sch name = JC8 #set_property PACKAGE_PIN M19 [get_ports {JC [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [5]}] ## Sch name = JC9 #set_property PACKAGE_PIN P17 [get_ports {JC [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JC [6]}] ## Sch name = JC10 #set_property PACKAGE_PIN R18 [get_ports {JC [7]}] #set_property IOSTANDARD LVC JC [7]}]

## Pmod ራስጌ JXADC

## Sch name = XA1_P #set_property PACKAGE_PIN J3 [get_ports {JXADC [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [0]}] ## Sch name = XA2_P #set_property PACKAGE_PIN L3 [get_ports] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [1]}] ## Sch name = XA3_P #set_property PACKAGE_PIN M2 [get_ports {JXADC [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports] JXADC [2X ስም] = XA4_P #set_property PACKAGE_PIN N2 [get_ports {JXADC [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [3]}] ## Sch name = XA1_N #set_property PACKAGE_PIN K3 [get_ports {JARAD] LVCMOS33 [get_ports {JXADC [4]}] ## Sch name = XA2_N #set_property PACKAGE_PIN M3 [get_ports {JXADC [5]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [5]}] ## Sch name = XA3_ PACKAGE_PIN M1 [get_ports {JXADC [6]}] #set_property IOSTANDARD LVCMOS33 [get_ports {JXADC [6]}] ## Sch name = XA4_N #set_property PACKAGE_PIN N1 [get_ports {JXADC [7]}] #set_pro [get_ports {JXADC [7]}]

## VGA አያያዥ

#set_property PACKAGE_PIN G19 [get_ports {vgaRed [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed [0]}] #set_property PACKAGE_PIN H19 [get_ports {vgaRed [1]}] #set }] #set_property PACKAGE_PIN J19 [get_ports {vgaRed [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaRed [2]}] #set_property PACKAGE_PIN N19 [get_ports {vgaRed [3]}] 3]}] #set_property PACKAGE_PIN N18 [get_ports {vgaBlue [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaBlue [0]}] vgaBlue [1]}] #set_property PACKAGE_PIN K18 [get_ports {vgaBlue [2]}] #set_property IOSTANDARD LVCMOS33 get_ports {vgaBlue [3]}] #set_property PACKAGE_PIN J17 [get_ports {vgaGreen [0]}] #set_property IOSTANDARD LVCMOS33 [get_p orts {vgaGreen [0]}] #set_property PACKAGE_PIN H17 [get_ports {vgaGreen [1]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen [1]}] #set_property PACKAGE_PIN G17 [get_ports] vgaGreen [2] LVCMOS33 [get_ports {vgaGreen [2]}] #set_property PACKAGE_PIN D17 [get_ports {vgaGreen [3]}] #set_property IOSTANDARD LVCMOS33 [get_ports {vgaGreen [3]}] Hsync] #set_property PACKAGE_PIN R19 [get_ports Vsync] #set_property IOSTANDARD LVCMOS33 [get_ports Vsync]

## USB-RS232 በይነገጽ

#ንብረት_ባለቤትነት PACKAGE_PIN B18 [ኤርፖርቶች RsRx ን ያግኙ]

## USB HID (PS/2)

#set_property PACKAGE_PIN C17 [get PSP2Clk ን ያግኙ]

## ባለአራት SPI ፍላሽ

## ልብ ይበሉ CCLK_0 በ 7 ተከታታይ መሣሪያዎች ውስጥ ሊቀመጥ አይችልም። ## STARTUPE2 ጥንታዊውን በመጠቀም ሊደርሱበት ይችላሉ። #set_property PACKAGE_PIN D18 [get_ports {QspiDB [0]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB [0]}] #set_protyty PACKAGE_PIN D19 [get_ports {QspiDB [1]}] #set }] #set_property PACKAGE_PIN G18 [get_ports {QspiDB [2]}] #set_property IOSTANDARD LVCMOS33 [get_ports {QspiDB [2]}] #set_property PACKAGE_PIN F18 [get_ports {QspiDB [3]} 3]}] #set_property PACKAGE_PIN K19 [get_ports QspiCSn] #set_property IOSTANDARD LVCMOS33 [get_ports QspiCSn]

ደረጃ 6 - የፒአር እንቅስቃሴ ዳሳሽን ማገናኘት

የፒአር እንቅስቃሴ ዳሳሽን ማገናኘት
የፒአር እንቅስቃሴ ዳሳሽን ማገናኘት
የፒአር እንቅስቃሴ ዳሳሽን ማገናኘት
የፒአር እንቅስቃሴ ዳሳሽን ማገናኘት

የ PIR እንቅስቃሴ ዳሳሽ ሶስት ፒኖች አሉት -ኃይል ፣ ጂኤንዲ እና ማንቂያ በቅደም ተከተል (የመጀመሪያውን ስዕል ይመልከቱ)። በዚህ መመሪያ ውስጥ የተጠቆመው የእንቅስቃሴ ዳሳሽ በቀጥታ ወደ ዳቦ ሰሌዳ ውስጥ ሊገናኝ ይችላል። ነገር ግን እኛ ለተጠቀምንበት ዳሳሽ ሽቦዎቹን ቆርጠን አውልቀን እንዳይጋለጡ የተጋለጡትን ጫፎች መሸጥ ነበረብን። በመጋገሪያ ሰሌዳው ላይ ወንድን ወደ ሴት ዝላይ ሽቦ ከኃይል እና ከመሬት ካስማዎች ጋር በተከታታይ ያስገቡ እና ከዚያ ከወንድ ወደ ወንድ ዝላይ ሽቦ በተከታታይ የማንቂያ ደወል (ሁለተኛውን ስዕል ይመልከቱ)።

ደረጃ 7 በመጋገሪያ ሰሌዳው ውስጥ ኤልኢዲውን ማብራት

በመጋገሪያ ሰሌዳው ውስጥ ኤልኢዱን ማብራት
በመጋገሪያ ሰሌዳው ውስጥ ኤልኢዱን ማብራት
በመጋገሪያ ሰሌዳው ውስጥ ኤልኢዱን ማብራት
በመጋገሪያ ሰሌዳው ውስጥ ኤልኢዱን ማብራት

ኤልዲውን ወደ የዳቦ ሰሌዳ ውስጥ ያስገቡ። የ LED አጭር መሪን በተከታታይ ጥቁር ወንድ ወደ ወንድ ዝላይ ገመድ ያስገቡ። ከዚያ ከ LED ረጅም መሪ ጋር በተከታታይ የተለያየ ቀለም ያለው ወንድን ወደ ወንድ ዝላይ ገመድ ያያይዙ።

ደረጃ 8 - የ Basys ቦርድ ግንኙነቶች

የ Basys ቦርድ ግንኙነቶች
የ Basys ቦርድ ግንኙነቶች

የ PIR እንቅስቃሴ ዳሳሹን የሴት ጫፎች በ basys ሰሌዳ ላይ ወደ 5 ቮልት የቮልቴጅ ምንጭ ያገናኙ። ከዚያ የወንድውን የ LED የመሬት ሽቦን ወደ ወደብ መሬት መሬት ከዚያም የማንቂያ ሽቦውን ከ PIR እንቅስቃሴ ዳሳሽ እና ከዚያ የ LED ግቤት ሽቦውን (በስዕሉ ላይ እንደሚታየው) ያገናኙ።

የሚመከር: