ዝርዝር ሁኔታ:

WIDI - ሽቦ አልባ ኤችዲኤምአይ ዚቦ (ዚንክ ልማት ቦርድ) በመጠቀም - 9 ደረጃዎች (ከስዕሎች ጋር)
WIDI - ሽቦ አልባ ኤችዲኤምአይ ዚቦ (ዚንክ ልማት ቦርድ) በመጠቀም - 9 ደረጃዎች (ከስዕሎች ጋር)

ቪዲዮ: WIDI - ሽቦ አልባ ኤችዲኤምአይ ዚቦ (ዚንክ ልማት ቦርድ) በመጠቀም - 9 ደረጃዎች (ከስዕሎች ጋር)

ቪዲዮ: WIDI - ሽቦ አልባ ኤችዲኤምአይ ዚቦ (ዚንክ ልማት ቦርድ) በመጠቀም - 9 ደረጃዎች (ከስዕሎች ጋር)
ቪዲዮ: Emotn C1 Wifi Bluetooth Projector Review - The Best Budget Projector 2024, ሀምሌ
Anonim
WIDI - ሽቦ አልባ ኤችዲኤምአይ ዚቦ (ዚንክ ልማት ቦርድ) በመጠቀም
WIDI - ሽቦ አልባ ኤችዲኤምአይ ዚቦ (ዚንክ ልማት ቦርድ) በመጠቀም
WIDI - ሽቦ አልባ ኤችዲኤምአይ ዚቦ (ዚንክ ልማት ቦርድ) በመጠቀም
WIDI - ሽቦ አልባ ኤችዲኤምአይ ዚቦ (ዚንክ ልማት ቦርድ) በመጠቀም

ቴሌቪዥንዎን ከፒሲ ወይም ላፕቶፕ ጋር እንደ ውጫዊ ማሳያ አድርገው ማገናኘት እንዲችሉ ተመኝተው ያውቃሉ ፣ ነገር ግን እነዚያን ሁሉ አስቸጋሪ ገመዶች በመንገድ ላይ እንዲኖራቸው አልፈለጉም? እንደዚያ ከሆነ ይህ መማሪያ ለእርስዎ ብቻ ነው! ይህንን ግብ የሚያሳኩ አንዳንድ ምርቶች ቢኖሩም ፣ አንድ DIY ፕሮጀክት የበለጠ አርኪ እና ርካሽ ሊሆን ይችላል።

የዥረት መሣሪያ ከመሆን ይልቅ ከተቆጣጣሪ ጋር የሚገናኝ የኤችዲኤምአይ ገመድ ቦታ ለመውሰድ የታሰበ ስለሆነ ይህ ጽንሰ -ሀሳብ እንደ chromecast ካሉ ምርቶች የተለየ ነው።

በካሊፎርኒያ ስቴት ፖሊቴክኒክ ዩኒቨርሲቲ ፣ ሳን ሉዊስ ኦቢስፖ ውስጥ ለሪል ታይም ኦፕሬቲንግ ሲስተም ትምህርት ኮርስ የእኛ ፕሮጀክት የተፈጠረ ነው።

የፕሮጀክቱ ግብ በኤችዲኤምአይ አስተላላፊ መሣሪያ (ፒሲ ፣ ብሉ ሬይ ፣ ወዘተ) ወደ ኤችዲኤምአይ መቀበያ መሣሪያ (ዴስክቶፕ ማሳያ ፣ ፕሮጄክተር ፣ ቲቪ ፣ ወዘተ) መካከል እንደ ገመድ አልባ የግንኙነት በይነገጽ ሆኖ እንዲሠራ ሁለት Digilent Zybo ቦርዶችን መጠቀም ነው።

አንድ Digilent Zybo በኤችዲኤምአይ ወደ ማስተላለፊያ መሣሪያ ይገናኛል ፣ ሁለተኛው በኤችዲኤምአይ ወደ ተቀባዩ መሣሪያ ይገናኛል።

የገመድ አልባ ግንኙነቱ የሚከናወነው ለቤት ራውተር ወይም ለሌላ እንደዚህ ዓይነት መሣሪያ ሳይተላለፍ ለአስተላላፊው እና ለተቀባዩ የተሰጠውን ገመድ አልባ የአከባቢ አውታረ መረብ በመጠቀም ነው። ለዚህ ፕሮጀክት ጥቅም ላይ የዋለው የገመድ አልባ ሞጁል tplink wr802n nanorouter ሲሆን አንደኛው አውታረ መረብን ለመመስረት እንደ የመዳረሻ ነጥብ የሚያገለግል ሲሆን ሁለተኛው ደግሞ ከአውታረ መረቡ ጋር ለመገናኘት እንደ ደንበኛ ሆኖ ይሠራል። እያንዳንዱ nanorouter በኤተርኔት ገመድ በኩል ወደ ዚቦ ቦርድ ይገናኛል። ከእነዚህ ራውተሮች ጋር ሲገናኙ መሣሪያዎቹ ከአንድ የኤተርኔት ገመድ ጋር እንደተገናኙ በ TCP በኩል ይገናኛሉ (ይህ ማለት ግንኙነት ለመመስረት የሚያስፈልገው ብቸኛው ውቅር የደንበኛው የአይፒ አድራሻ ነው)።

የፕሮጀክቱ ግብ የ 1080x720 ቪዲዮ @ 60Hz ዥረት ማመቻቸት ቢሆንም ፣ በገመድ አልባ አውታረመረብ ውስጥ ባለው የመተላለፊያ ይዘት ገደቦች እና ለመላክ የሚያስፈልገውን መረጃ ለመቀነስ በእውነተኛ ጊዜ የቪዲዮ መጭመቂያ እጥረት ምክንያት ይህ ሊሳካ አልቻለም። ይልቁንም ፣ ይህ ፕሮጀክት እንደታሰበው የኤችዲኤምአይ መረጃን በትክክል ለማሰራጨት በፍሬም ተመን ውስጥ ገደቦችን በከፍተኛ ሁኔታ ስለገደበ ፣ ይህንን ፕሮጀክት ለማሳካት ለወደፊቱ ልማት ማዕቀፍ ሆኖ ያገለግላል።

የፕሮጀክት መስፈርቶች

2x Digilent Zybo Development Boards (ቢያንስ አንድ የኤችዲኤምአይ ወደብ ሊኖረው ይገባል)

2x ኤችዲኤምአይ ኬብሎች

2x የማይክሮብብ ኬብሎች (ዚቦን ከእድገት ፒሲ ጋር ለማገናኘት)

2x tplink wr802n nanorouters (adtl. 2x microusb እና የግድግዳ መውጫ የኃይል አስማሚዎችን ጨምሮ)

2x የኤተርኔት ኬብሎች

*** ማስታወሻ -ይህ መማሪያ ከቪቫዶ ዲዛይን ስብስብ ጋር መተዋወቅን እና አዲስ ፕሮጀክት እና የማገጃ ዲዛይን የመፍጠር ልምድን ይይዛል።

ደረጃ 1 የዚንክ ፕሮግራም ሊሠራ የሚችል አመክንዮ ለአስተላላፊ ያዋቅሩ

ዚንክ የፕሮግራም አመክንዮ ለአስተላላፊ ያዋቅሩ
ዚንክ የፕሮግራም አመክንዮ ለአስተላላፊ ያዋቅሩ
ዚንክ የፕሮግራም አመክንዮ ለአስተላላፊ ያዋቅሩ
ዚንክ የፕሮግራም አመክንዮ ለአስተላላፊ ያዋቅሩ
ዚንክ የፕሮግራም አመክንዮ ለአስተላላፊ ያዋቅሩ
ዚንክ የፕሮግራም አመክንዮ ለአስተላላፊ ያዋቅሩ

አስተላላፊው በፕሮግራም ሊሠራ የሚችል አመክንዮ ለማዳበር ያቀረብነው አቀራረብ ሁለት የቪድዮ ቀጥታ ማህደረ ትውስታ መዳረሻ (ቪዲኤምኤ) ብሎኮችን ፣ አንዱን ለመፃፍ እና አንዱን ለንባብ በመጠቀም ከፒሲ ወደ hdmi-to-hdmi ማለፊያ ማከናወን ነበር።

ሁለቱም ለነፃ-አሂድ ፣ ለ 3 ፍሬም-ቋት ሁናቴ (0-1-2) ተመርጠዋል። የቪዲዮው አንኳር ለ 60 ክፈፎች በሰከንድ የተመቻቸ በመሆኑ ፣ ይህ ማለት ቪዲኤምኤ በዚህ ቅደም ተከተል በየ 16.67 ሚሴ ይጽፋል ወይም ያነባል ማለት ነው - 0 ፣ 1 ፣ 2 ፣ 0 ፣ 1 ፣ 2 ፣ 0 ፣ 1 ፣ 2። ለእያንዳንዱ ክፈፍ የ DDR ማህደረ ትውስታ ሥፍራዎች ለሁለቱም ቪዲኤሞች የተለዩ ናቸው ፣ ምክንያቱም እነሱ ከአሁን በኋላ እርስ በእርስ አይመሳሰሉም። በምትኩ ፣ ለ 60 Hz የተዋቀረው የሃርድዌር ሰዓት ቆጣሪ (TTC1) ፣ በሁለቱ የማስታወሻ ሥፍራዎች መካከል ያለውን የመረጃ እንቅስቃሴ ለማመሳሰል ያገለግላል።

ከላይ ያለው ምስል 3 ፍሬሞችን ፣ መጠኖቻቸውን እና እያንዳንዱ የሚፈልገውን የማህደረ ትውስታ መጠን ያሳያል (ወደ ክፈፉ በስተቀኝ)። እኛ ለእነዚህ ማህደረ ትውስታ ቦታዎች የመፃፍ VDMA ን የምንመድብ ከሆነ ፣ ከዚያ ከዚህ ስብስብ በላይ የተነበበውን የ VDMA ማህደረ ትውስታ ቦታዎችን ልንመድብ እንችላለን ፣ ከ 0x0B000000 ጀምሮ ይበሉ። እያንዳንዱ ክፈፍ በ 1280*720 ፒክሰሎች የተሠራ ሲሆን እያንዳንዱ ፒክሰል በ 8 ቢት ቀይ ፣ አረንጓዴ እና ሰማያዊ በድምሩ 24 ቢት ነው። ይህ ማለት አንድ ክፈፍ በ 1280*720*3 ባይት (2.76 ሜባ) የተሰራ ነው።

በቪዲኤምኤ የመንጃ ቅንብር ውስጥ በተገለጸው በሰዓት ቆጣሪ IRQ ውስጥ ፣ በሁለቱ የ VMDA ማህደረ ትውስታ ቦታዎች መካከል መረጃን መቅዳት ያስተናግዳል። ቪዲኤኤምኤ አሁን እየተፃፈበት ወይም እየተነበበበት ላለው ፍሬም ጠቋሚ ይሰጣል። ክፈፉ በሶፍትዌር ውስጥ በተለወጠው በተለየ ግራጫ ኮድ ይወከላል። ለ 3 የክፈፍ-ቋት ውቅር ግራጫ ኮድ ትርጓሜዎች በአባሪ X ውስጥ በ AXI VDMA የምርት መመሪያ ውስጥ ሊገኙ ይችላሉ።

ይህ አሁን ከተፃፈበት ፍሬም ሳናነብ ይዘቱን ወደ ማህደረ ትውስታ ለመገልበጥ ያስችለናል።

*** ልብ ይበሉ በገመድ አልባ አውታር ላይ መረጃን በሚልክበት ጊዜ የተነበበው VDMA ጥቅም ላይ የማይውል መሆኑን ልብ ይበሉ። ዓላማው ማህደረ ትውስታን ከመፃፍ ቪኤምዲኤን የመቅዳት ትክክለኛ አሠራር ማረጋገጥ ነው። የተነበበው ቪኤምዲኤ መሰናከል አለበት። ***

የማስተላለፊያ ንድፍ አግድ ለመፍጠር ደረጃዎች እዚህ አሉ

  1. አዲስ ፕሮጀክት በሚፈጥሩበት ጊዜ ለፕሮጀክቱ ቺፕ ወይም ቦርድ መመደብ ጥሩ ሀሳብ ነው። ይህ አገናኝ አዲስ የቦርድ ፋይሎችን ወደ ቪቫዶ ማውጫ እንዴት ማከል እና ትክክለኛውን ሰሌዳ ከፕሮጀክትዎ ጋር ማዛመድ እንደሚቻል ይገልጻል። የሂደቱን ስርዓት እገዳ ሲጨምር እና ከሃርድዌር ወደ ሶፍትዌር (ኤስዲኬ ጎን) ሲሸጋገር ጠቃሚ ይሆናል።
  2. የሚከተሉትን ብሎኮች ያክሉ

    • dvi2rgb
    • ቪዲዮ ወደ Axi4- ዥረት
    • የጊዜ መቆጣጠሪያ
    • axi4- ዥረት ለመልቀቅ
    • rgb2dvi
    • AXI VDMA x2
    • AXI GPIO x2
    • የሰዓት አዋቂ
    • የማያቋርጥ
    • የዚንክ ማቀነባበሪያ ስርዓት
  3. የአሠራር ስርዓቱን ሲጨምሩ ከላይኛው አረንጓዴ ቀለም ካለው አሞሌ “አግድ አውቶማቲክን አሂድ” ን ጠቅ ያድርጉ እና “የቦርድ ቅድመ -ተግብር” አማራጭ መምረጡን ያረጋግጡ። ሌላውን ሁሉ በነባሪነት ይተዉት።
  4. የእያንዳንዱ የማገጃ ውቅር መስኮት ምስሎች ከላይ ባሉት ምስሎች ውስጥ ሊገኙ ይችላሉ። ለአንድ የተወሰነ መስኮት ምስል ካላዩ ልክ እንደ ነባሪ ይተዉት።
  5. የዚንክ ማቀነባበሪያ ስርዓትን ማዋቀር ይጀምሩ

    • በ PS-PL ውቅረት AXI ደህንነቱ ያልተጠበቀ GP Master AXI ን ያንቁ ፣ M AXI GP0 በይነገጽን ያንቁ
    • በ PS-PL ውቅረት ውስጥ የ HP Slave AXI በይነገጽ ፣ ሁለቱንም HP0 እና HP1 ን ያንቁ
    • በ MIO ውቅር ውስጥ ENET0 በ I/O Peripherals ስር መንቃቱን ያረጋግጡ ፣ ከዚያ የትግበራ ማቀናበሪያ ክፍል ፣ ሰዓት ቆጣሪን ያንቁ።
    • በሰዓት ውቅረት PL ጨርቃጨርቅ ሰዓቶች ውስጥ ፣ FCLK_CLK0 ን ያንቁ እና ወደ 100 ሜኸዝ ያዘጋጁ።
    • እሺን ጠቅ ያድርጉ
  6. “የግንኙነት አውቶማቲክን አሂድ” ላይ ጠቅ ከማድረግዎ በፊት ከላይ ባለው የቲኤክስ የማገጃ ንድፍ ምስል ላይ እንደሚታየው የቪዲዮ ብሎኮችን ማገናኘትዎን ያረጋግጡ። ቋሚውን ወደ ቪዲዲ እንደገና መሰየም እና እሴቱን ወደ 1. የቪድዮ ብሎኮችን በዚህ መሠረት ማገናኘት ይፈልጋሉ።
  7. በ rgb2dvi እና dvi2rgb ብሎኮች ላይ የኤችዲኤምአይ TMDS ሰዓት እና የውሂብ ፒን ውጫዊ ያድርጉት
  8. ለሙቅ መሰኪያ መፈለጊያ ምልክት (ኤችፒዲ) የግብዓት እና የውጤት ወደብ ይፍጠሩ እና አንድ ላይ ያገናኙዋቸው ፣ እነዚህ በግድቦች ፋይል ውስጥ ተገልፀዋል
  9. የፒክሰል ሰዓቱ በእገዳዎች ፋይል ውስጥ ከተፈጠረው ከ TMDS_Clk_p ተመልሷል። በ 720p ጥራት መሠረት ይህ 74.25 ሜኸ ይሆናል። የፒክሰል ሰዓቱን (ከ dvi2rgb ብሎክ) ከሚከተሉት ፒኖች ጋር ማገናኘት አስፈላጊ ነው።

    • vid_io_in_clk (ወደ ኤክሲ ዥረት ብሎክ ይግቡ)
    • vid_io_out_clk (ብሎክን ለማውጣት ኤክሲ ዥረት)
    • clk (የጊዜ መቆጣጠሪያ)
    • PixelClk (rgb2dvi)
  10. *** ማሳሰቢያ -በአሁኑ ጊዜ የፒክሰል ሰዓት መልሶ ማግኛን ለማግበር የኤችዲኤምአይ rx እና tx አያያorsች ወደ ገባሪ ምንጭ/ማጠቢያ ውስጥ መሰካት አለባቸው። በዚህ ዙሪያ አንድ መንገድ ቪዲዮውን rx እና tx ብሎኮችን ወደ የተለያዩ የሰዓት ጎራዎች መለየት (በሌላ አነጋገር ወደ tx ብሎክ ለመመገብ አዲስ 74.25 ሜኸ ሰዓት ያመነጫሉ)። ***
  11. የ 100 ሜኸ ግብዓት (ዓለም አቀፋዊ ቋት ምንጭ) እና 3 የውጤት ሰዓቶች @ 50 ሜኸ (AXI-Lite ሰዓት) ፣ 150 ሜኸ (AXI4- ዥረት ሰዓት) ፣ 200 ሜኸ (dvi2rgb RefClk pin) እንዲኖርዎት ቀጥሎ የሰዓት አዋቂውን ያዘጋጁ።
  12. የ FCLK_CLK0 ማቀነባበሪያ ስርዓት ፒን ከሰዓት አዋቂ ግብዓት ጋር ያገናኙ
  13. በዚህ ጊዜ በዲዛይን መስኮቱ አናት ላይ ካለው አረንጓዴ አሞሌ “የግንኙነት አውቶማቲክን አሂድ” ን ጠቅ ያድርጉ። ይህንን በአንድ ጊዜ ለአንድ ብሎክ ማድረግ እና ከላይ ያለውን የ TX ብሎክ ዲዛይን ምስል መከተል ጥሩ ሀሳብ ነው።
  14. መሣሪያው የ AXI-Lite አውቶቡስን (VDMAs እና GPIOs) ለሚጠቀሙ ብሎኮች እንደ ዋና/የባሪያ ግንኙነት ሆኖ የሚሠራውን የ AXI Interconnect ን ለመጨመር ይሞክራል።
  15. እንዲሁም በ VDMA (Stream to Memory Map እና በግልባጩ) ለኤክስኤክስ 4-ዥረት እና ለከፍተኛ አፈፃፀም አንጎለ ኮምፒውተር በይነገጽ እንደ ዋና/የባሪያ ግንኙነት ሆኖ የሚያገለግል AXI SmartConnect ን ያክላል።
  16. መሣሪያው የአቀነባባሪ ስርዓት ዳግም ማስጀመርንም ይጨምራል። ይህ ከቪዲኤምኤዎች ፣ ከጂፒኦዎች እና ከአቀነባባሪ ተዛማጅ ብሎኮች ጋር ብቻ የተገናኘ መሆኑን ያረጋግጡ። ከማንኛውም የቪዲዮ ብሎኮች ጋር አያገናኙት (ማለትም ፣ dvi2rgb ፣ የጊዜ መቆጣጠሪያ ፣ ቪድዮ ወደ ዥረት ወዘተ)
  17. የግንኙነት አውቶማቲክ አንዴ ከተጠናቀቀ ፣ ግንኙነቶቹ ከ TX ማገጃ ንድፍ ምስል ጋር የሚዛመዱ መሆናቸውን ያረጋግጡ። ያልተጠቀሰ አንድ ተጨማሪ የስርዓት ILA ብሎክ ያስተውላሉ። ይህ ለማረም ብቻ ነው እና ለአሁን አያስፈልግም። እሱ የ 150M ፕሮሰሰር ዳግም ማስጀመሪያን ይጠቀማል ፣ ስለዚህ ያ አያስፈልገውም። በአውቶቡሶች ላይ ትናንሽ አረንጓዴ “ትኋኖች” በሚያዩበት ቦታ ሁሉ ፣ ይህ በ ILA ምክንያት ነው እና ችላ ሊባል ይችላል።
  18. የመጨረሻው ደረጃ በፕሮጀክቱ ምንጮች ዛፍ ውስጥ ባለው የማገጃ ንድፍ ላይ በቀኝ ጠቅ ማድረግ እና “የኤችዲኤል መጠቅለያ ፍጠር” ን መምረጥ ነው። ወደ መጠቅለያው አመክንዮ ለመጨመር ካቀዱ ፣ ይህ በተመረጠ ቁጥር ይተካዋል።
  19. በኤስዲኬ ጎን ለዝርዝሮች የ VDMA የአሽከርካሪ ማዋቀሪያ ክፍልን ይመልከቱ።

ሰዓቶች እና ዳግም ማስጀመሪያዎች

የማንኛውም የፕሮግራም አመክንዮ ፕሮጀክት በጣም አስፈላጊ ገጽታዎች የሰዓት ጎራዎችን እና የመልሶ ማግኛ ምልክቶችን በጥንቃቄ ማጤን መሆኑን አግኝቻለሁ። እነዚያ በትክክል ከተዋቀሩ ንድፍዎ እንዲሠራ ጥሩ ውጤት አለዎት።

የፒክሰል ሰዓት እና የጊዜ ቆልፍ ተቆል.ል

የተወሰኑ ምልክቶች ገባሪ መሆናቸውን ለማረጋገጥ እነዚህን ምልክቶች ከ LEDs (ሰዓቶች ፣ ዳግም ማስጀመሪያዎች ፣ መቆለፊያዎች ወዘተ) ጋር ማያያዝ ጥሩ ሀሳብ ነው። በአስተላላፊው ሰሌዳ ላይ ለመከታተል ጠቃሚ ሆኖ ያገኘኋቸው ሁለት ምልክቶች የፒክሰል ሰዓት እና በ ‹XX4› ዥረት ላይ ያለው ‹የተቆለፈ› ምልክት ለቪዲዮ ውጭ ብሎክ ነው ፣ ይህም የቪዲዮው ጊዜ ከጊዜ መቆጣጠሪያ እና ከቪዲዮው ምንጭ ጋር ተመሳስሏል። ውሂብ። እንደ ዳግም ማስጀመሪያ በ dvi2rgb ብሎክ ላይ የ PixelClkLocked ምልክትን በመጠቀም የፒክሰል ሰዓቱን በሚከታተል የንድፍ ማገጃ መጠቅለያ ላይ አንዳንድ አመክንዮ አክዬአለሁ። ፋይሉን እንደ hdmi_wrapper.v እዚህ አያይዣለሁ። የእገዳዎች ፋይል እዚህም ተያይ attachedል።

ደረጃ 2 የዚንክ የፕሮግራም አመክንዮ ለተቀባዩ ያዋቅሩ

የዚንክ የፕሮግራም አመክንዮ ለተቀባዩ ያዋቅሩ
የዚንክ የፕሮግራም አመክንዮ ለተቀባዩ ያዋቅሩ
የዚንክ የፕሮግራም አመክንዮ ለተቀባዩ ያዋቅሩ
የዚንክ የፕሮግራም አመክንዮ ለተቀባዩ ያዋቅሩ
የዚንክ የፕሮግራም አመክንዮ ለተቀባዩ ያዋቅሩ
የዚንክ የፕሮግራም አመክንዮ ለተቀባዩ ያዋቅሩ

ለተቀባዩ የፕሮግራም አመክንዮ አመክንዮ ቀለል ያለ ነው። ከጎደለው የኤችዲሚ ግብዓት ብሎኮች በስተቀር ዋናው ልዩነት የተመለሰ የፒክሰል ሰዓት አለመኖር ነው። በዚህ ምክንያት እኛ ከሰዓት ጠንቋይ የራሳችንን ማመንጨት አለብን። ይህ ንድፍ ከአስተላላፊው በተለየ ፕሮጀክት ውስጥ መከናወን አለበት። ለእኛ ዓላማዎች ተቀባዩ ፕሮጀክት የዚቦ 7Z-20 ቦርድን ተከትሎ አስተላላፊው የ Z7-10 ሰሌዳውን ተከተለ። በቦርዶቹ ላይ ያሉት FPGAs የተለያዩ ናቸው… ይጠንቀቁ።

የመቀበያ ንድፍ አግድ ለመፍጠር ደረጃዎች እዚህ አሉ

  1. የሚከተሉትን የአይፒ ብሎኮች ወደ ንድፍዎ ያክሉ

    • የጊዜ መቆጣጠሪያ
    • ቪዲዮ ወደ ውጭ ለመውጣት AXI4- ዥረት
    • RGB ወደ DVI
    • AXI VDMA
    • ኤክሲጂ ጂፒኦ
    • የሂደት ስርዓት
    • የሰዓት አዋቂ
    • ቋሚ (ቪዲዲ ወደ 1 ተቀናብሯል)
  2. እነዚህን ብሎኮች እንደ አስተላላፊው ለማዋቀር ተመሳሳይ ንድፍ ይከተሉ። በማዋቀር ውስጥ ለታወቁት ልዩነቶች ምስሎች እዚህ ተካትተዋል። ሌሎቹ ከአስተላላፊው ጋር ተመሳሳይ ናቸው።
  3. ለዚህ ንድፍ VDMA ን እንደ ተነባቢ ሰርጥ ብቻ ያዋቅሩ። የጽሑፍ ሰርጡን ያሰናክሉ።
  4. የሰዓት አዋቂው ለሚከተሉት ውጤቶች መዋቀር አለበት።

    • clk_out1: 75 ሜኸ (የፒክሰል ሰዓት)
    • clk_out2: 150 ሜኸ (የዥረት ሰዓት)
    • clk_out3: 50 ሜኸ (axi-lite ሰዓት)
  5. በ RX የማገጃ ንድፍ ምስል ላይ እንደሚታየው የቪዲዮ ብሎኮችን ያገናኙ።
  6. ከዚያ የ AXI Interconnect ፣ AXI SmartConnect እና System Reset ብሎኮችን የሚጨምር እና ተገቢ ግንኙነቶችን ለማድረግ የሚሞክር የግንኙነት አውቶማቲክን ያሂዱ። የማይፈለጉ ግንኙነቶችን አለመፈጸሙን ለማረጋገጥ እዚህ ቀስ ብለው ይሂዱ።
  7. በ rgb2dvi ብሎክ ላይ የኤችዲኤምአይ TMDS ሰዓት እና የውሂብ ፒን ውጫዊ ያድርጉት
  8. በዚህ ንድፍ ላይ የሙቅ መሰኪያ ምልክት አያስፈልግም።

ደረጃ 3 የ VDMA ነጂን ያዋቅሩ

የ VDMA ነጂን ያዋቅሩ
የ VDMA ነጂን ያዋቅሩ

በ AXI-Lite በይነገጽ በኩል ለተዋቀሩት የተለያዩ ብሎኮች ማዋቀር ከ BSP ጋር የተካተቱ የማሳያ ፕሮጄክቶችን እንደ ማጣቀሻ በመጠቀም በተሻለ ሁኔታ ይከናወናል። የዲዛይን ሃርድዌርን ወደ ውጭ ከላኩ እና ኤስዲኬውን ከቪቫዶ ከጀመሩ በኋላ አዲስ የቦርድ ድጋፍ ጥቅል ማከል እና የ lwip202 ቤተ -መጽሐፍትን በ BSP ቅንብሮች መስኮት ላይ ማካተት ይፈልጋሉ። ከ BSP የ system.mss ፋይል ፋይልን ይክፈቱ እና ከብሎግ ዲዛይንዎ የሚመጡትን የዳር ሾፌሮች ያያሉ። “ምሳሌዎችን አስመጣ” የሚለው አማራጭ እነዚህን ተጓipች የሚጠቀሙ የማሳያ ፕሮጄክቶችን እንዲያስመጡ እና በዚህም የሚገኙትን የ Xilinx ነጂዎችን በመጠቀም በሶፍትዌር ውስጥ እንዴት እንደሚያዋቅሯቸው ያሳየዎታል (የተያያዘውን ምስል ይመልከቱ)።

ይህ VDMA ን ፣ ሰዓት ቆጣሪን እና ማቋረጫውን እና ጂፒኦውን ለማዋቀር ያገለገለበት ዘዴ ነበር። ለሁለቱም ለማስተላለፍ እና ለመቀበል የምንጭ ኮድ እዚህ ተካትቷል። ልዩነቶቹ በዋናነት በዋናነት በዋናነት ናቸው።

*** ማሳሰቢያ - ይህንን መማሪያ በሚጽፉበት ጊዜ ስርዓቱ ሙሉ በሙሉ ስለማይሠራ ፣ በዚህ ክፍል ውስጥ ያለው ምንጭ ኮድ የገመድ አልባ አውታረ መረብ ኮድን አያካትትም። የቪድዮ ኮር ማስተላለፊያ/መቀበል ፕሮጄክቶችን ከአውታረ መረብ ማስተላለፊያ/መቀበል ፕሮጄክቶች ጋር በማጣመር በርካታ ሳንካዎች መፍትሄ ያስፈልጋቸዋል። ስለዚህ ይህ መማሪያ ለጊዜው ለየብቻ ያስተናግዳቸዋል። ***

TX አቋራጭ ተቆጣጣሪ ተግባር (IRQHandler)

ይህ ተግባር በ GPIO ብሎኮች በኩል VDMA ን በማንበብ እና በመፃፍ የቀረቡትን ግራጫ ኮዶችን ያነባል። ግራጫው ኮዶች ወደ አስርዮሽ ይለወጣሉ እና የአሁኑን ፍሬም ፍሬም መሠረት ማህደረ ትውስታ ቦታን ለመምረጥ ያገለግላሉ። የተቀዳው ፍሬም በቪዲኤምኤ (ኤ.ዲ.ኤም.ኤ.) ወደተፃፈበት የቀደመው ፍሬም ነው (ለምሳሌ ቪዲኤምኤ ወደ ክፈፍ 2 የሚጽፍ ከሆነ ፣ ፍሬም 1 ን እንቀዳለን ፣ ወደ ፍሬም 0 ከጻፍን ፣ ከ ፍሬም 2 ጠቅልለን እናነባለን)።

ተግባሩ የክፈፉን መጠን ከ 60 Hz ይልቅ ወደ 10 Hz ለመቀነስ እያንዳንዱን 6 ኛ ክፈፍ ብቻ ይይዛል። የአውታረ መረቡ የላይኛው ወሰን 300 ሜጋ ባይት ነው። በ 10 ክፈፎች በሰከንድ የመተላለፊያ ይዘት 221.2 ሜባ / ሰት ያስፈልጋል።

በዚህ ተግባር ውስጥ ሁለት መስመሮችን አስተያየት መስጠት/አለመስጠት ተጠቃሚው ለማረም/ለሙከራ ዓላማዎች ወደ ኤችዲኤምአይ passthru ሁነታ እንዲለወጥ (ኮዱ ተገቢ መስመሮችን ለማመልከት አስተያየት ተሰጥቶታል)። በአሁኑ ጊዜ ፍሬሙን በኢተርኔት ኮድ ጥቅም ላይ ወደሚውል የማህደረ ትውስታ ቦታ ይገለብጣል።

የ RX ማቋረጫ ተቆጣጣሪ ተግባር (IRQHandler)

ይህ ተግባር ከ TX ተግባር ጋር በጣም ተመሳሳይ ነው ፣ ግን ገቢ መረጃን ለመፃፍ በኤተርኔት ከተጠቀመው ከ 2 ቋት FIFO ይገለብጣል። የኤተርኔት ኮድ ለ FIFO የትኛው ክፈፍ እንደተፃፈ ያመለክታል ፣ መረጃው ከተቃራኒው ፍሬም ይገለበጣል። እንዳይቀደድ በ VDMA ከሚነበበው በስተጀርባ በቀጥታ ወደ ክፈፉ ይገለበጣል።

ደረጃ 4 የናኖሮተር አውታረ መረብን ያዋቅሩ

Nanorouter አውታረ መረብን ያዋቅሩ
Nanorouter አውታረ መረብን ያዋቅሩ

የ TPlink nanorouters ን በመጠቀም አውታረ መረብ ለመፍጠር ፣ በተናጥል ያብሯቸው እና ለመሣሪያዎቹ ከነባሪ wifi SSID ጋር ይገናኙ። ለዚህ ልዩ መሣሪያ በማዋቀር ቅንብሮች ላይ ተጨማሪ መረጃ በመሣሪያው የተጠቃሚ መመሪያ በኩል ሊገኝ ይችላል።

ከመሳሪያዎቹ ውስጥ አንዱን እንደ የመዳረሻ ነጥብ ያዋቅሩ ፣ ይህ እንደ አውታረ መረቡ ዋና ግንኙነት ሆኖ ይሠራል። አውታረ መረቡን መሰየሙን እና የስሙን ማስታወሻ ማድረጉን ያረጋግጡ ፣ እና DHCP ን ያሰናክሉ (ራውተሩ የአይፒ አድራሻዎችን በተለዋዋጭ እንዲያዋቅረው አንፈልግም ፣ አስተናጋጁ እና ተቀባዩ ዚቦ ቦርዶች የአይፒ አድራሻቸውን እራሳቸው እንዲያስተካክሉ እንፈልጋለን)። ካዋቀሩ በኋላ መሣሪያው ዳግም መነሳቱን ያረጋግጡ እና ይህንን አውታረ መረብ ያቋቁማል።

ሌላውን መሣሪያ እንደ ደንበኛ ያዋቅሩት ፣ እና ከመጀመሪያው ናኖውተር ጋር ካዋቀሩት አውታረ መረብ SSID ጋር መገናኘቱን ያረጋግጡ። አሁንም DHCP ለደንበኛው አካል ጉዳተኛ መሆኑን ያረጋግጡ።

አንዴ ደንበኛው ከጨረሰ እና እንደገና ከጀመረ ፣ ከመዳረሻ ነጥብ nanorouter ጋር መገናኘት አለበት (ካልሰራ ፣ በአንዱ መሣሪያዎች ውቅርዎ ውስጥ አንድ ችግር ሊኖር ይችላል)። ከመዳረሻ ነጥብ ጋር ከተገናኘ በኋላ በደንበኛው ላይ ያለው የ LED መብራት ጠንካራ እንደሚሆን ያስተውላሉ።

የመዳረሻ ነጥብ nanorouter LED በዚህ ጊዜ ብልጭታውን ይቀጥላል ፣ ይህ ደህና ነው! ብልጭ ድርግም የሚል መብራት ከኤተርኔት ወደብ ከሌላ መሣሪያ ጋር አልተገናኘም ማለት ነው ፣ እና ከተዋቀረው ዚቦ ጋር ከተገናኘ በኋላ LED የተሳካ የአውታረ መረብ ግንኙነትን የሚያመለክት ጠንካራ ሆኖ ይቆያል።

አሁን የእኛ የናኖሮተሮች ቅንብር ስላለን ፣ እኛ እንድንገናኝ የሚያስችለን ገመድ አልባ አውታረመረብ አለን። አንድ አስፈላጊ ማስታወሻ ለናኖሮተሮች (እንደ የመዳረሻ ነጥብ እና ደንበኛ) የእኛ የማዋቀሪያ ዘዴችን ሁለቱ ከአንድ ኤተርኔት ሽቦ ጋር እንደተገናኙ ከማስተላለፍ የዚቦ ቦርድ ወደ ተቀባዩ ዚቦ ቦርድ እንድንገናኝ ያስችለናል። ይህ አማራጭ የዚቦ ቦርዶችን ከአገልጋዩ ጋር በግልፅ ለመገናኘት ከታቀደው የግንኙነት ሁኔታ ጋር ማዋቀሩን ሊያካትት ስለሚችል ይህ የእኛ የአውታረ መረብ ቅንብርን አስቸጋሪ ያደርገዋል።

ሁለቱም መሣሪያዎች አንዴ ከተዋቀሩ ናኖሮተሮች ተዋቅረው ወደ የእርስዎ WIDI አውታረ መረብ ለመተግበር ዝግጁ ናቸው። የመዳረሻ ቦታው ወይም ደንበኛው ለማስተላለፊያው ወይም ለመቀበል መሣሪያ ስለሚሠራ በናኖሮተሮች እና በዚቦ ቦርዶች መካከል ልዩ ማጣመር የለም።

ደረጃ 5 - በኤተርኔት በኩል ለውሂብ ማስተላለፍ የዚንክ ማቀነባበሪያ ስርዓት ያዋቅሩ

በኤተርኔት በኩል ለውሂብ ማስተላለፍ የዚንክ ማቀነባበሪያ ስርዓት ያዋቅሩ
በኤተርኔት በኩል ለውሂብ ማስተላለፍ የዚንክ ማቀነባበሪያ ስርዓት ያዋቅሩ
በኤተርኔት በኩል ለውሂብ ማስተላለፍ የዚንክ ማቀነባበሪያ ስርዓት ያዋቅሩ
በኤተርኔት በኩል ለውሂብ ማስተላለፍ የዚንክ ማቀነባበሪያ ስርዓት ያዋቅሩ

የኤችዲኤምአይ ውሂቡን ከአንድ የዚቦ ቦርድ ወደ ሌላው ለማስተላለፍ የኤተርኔት ፕሮቶኮሉን በእኛ VDMA ሾፌር ውስጥ ማካተት አለብን። እዚህ ግባችን ከየአውታረ መረብ መተላለፊያ ይዘትችን ጋር በተጣጣመ መጠን በማቀነባበሪያ ስርዓት ውስጥ የግለሰቦችን የቪዲዮ ክፈፎች በኤተርኔት ዙሪያ በኩል ማሰራጨት ነው። ለፕሮጀክታችን በባዶ-ብረት LwIP ኤፒአይ የቀረበውን TCP ን እንጠቀም ነበር። ሁለቱም የፕሮጀክቱ አባላት በአንፃራዊነት ከአውታረ መረብ መገልገያዎች ጋር ልምድ የላቸውም ፣ ይህ ምርጫ የተደረገው ከ TCP ጋር የተዛመዱትን አንድምታዎች እና ገደቦች ሙሉ በሙሉ ሳያውቅ ነው። የዚህ ትግበራ ዋነኛው ችግር ውሱን የመተላለፊያ ይዘት እና በእውነቱ ከፍተኛ መጠን ያለው መረጃን ለማፍሰስ ዓላማ ያልተሰራ መሆኑ ነው። በዚህ ፕሮጀክት ውስጥ TCP ን ለመተካት እና tbe ን ለማሻሻል አማራጭ መፍትሄዎች በኋላ ላይ ይብራራሉ።

የ TCP አጭር መግለጫ ከ LwIP ጋር - መረጃ በአውታረ መረቡ ላይ በ tcp_mss (TCP ከፍተኛ ክፍል መጠን) እሽጎች ውስጥ ይላካል ፣ ይህም በአጠቃላይ 1460 ባይት ነው። ለ tcp_write መደወል በጠቋሚ የተጠቀሰውን የተወሰነ መረጃ ይወስዳል እና ውሂቡን ለመያዝ እና ለ TCP አሠራሮች አወቃቀር ለመስጠት pbufs (የጥቅል መያዣዎች) ያዋቅራል። በአንድ ጊዜ ሊሰለፍ የሚችል ከፍተኛው የውሂብ መጠን እንደ tcp_snd_buf (TCP ላኪ ቋት ቦታ) ተቀናብሯል። ይህ ግቤት 16 ቢት ቁጥር ስለሆነ እኛ በ 59695 ባይት የመላኪያ ቋት መጠን ላይ ተገድበናል (በተላኪ ቋት ውስጥ አንዳንድ አስፈላጊ መለጠፊያ አለ)። ውሂቡ አንዴ ከተሰለፈ ፣ tcp_output ውሂቡን ማስተላለፍ እንዲጀምር ይጠራል። ቀጣዩን የውሂብ ክፍል ከመላክዎ በፊት ሁሉም የቀደሙት ፓኬቶች በተሳካ ሁኔታ መተላለፋቸው የግድ ነው። እውቅናው ከተቀባዩ ሲታይ የሚጠራው ተግባር ስለሆነ ይህ ሂደት የ recv_callback ተግባርን በመጠቀም ይከናወናል።

በቪቫዶ ኤስዲኬ ውስጥ የምሳሌ ፕሮጄክቶችን መጠቀም የ LwIP TCP አሠራር እንዴት እንደሆነ ለመማር በጣም ጠቃሚ ነው ፣ እና አዲስ ፕሮጀክት ለመጀመር ጥሩ መነሻ ነጥብ ነው።

የ WiDi ማስተላለፊያ መሣሪያ አሠራሩ እንደሚከተለው ነው

  1. በባዶ-ብረት LWIP የአሽከርካሪ ተግባር ጥሪዎች በመጠቀም የ TCP አውታረ መረብን ያስጀምሩ።
  2. ለኔትወርክ አሠራሮች አስፈላጊ የሆኑትን ማንኛውንም የመልሶ ማቋቋም ተግባሮችን ይግለጹ።
  3. ከአይፒ አድራሻ እና ወደብ ጋር በመገናኘት ከ WiDi ተቀባዩ ጋር ይገናኙ (የእኛ ውቅር -ተቀባይ IP 192.168.0.9 ነው ፣ ወደብ 7 ይገናኙ)።
  4. የቪዲኤምኤ የመንጃ ሰዓት ቆጣሪ ሲያልቅ ወደ TX ISR ያስገቡ።
  5. በ VDMA ግራጫ ኮድ ላይ በመመርኮዝ ለመድረስ የአሁኑን የፍሬም ቋት ለመድረስ ይወስኑ
  6. በ TCP ላክ ቋት ውስጥ የመጀመሪያውን የውሂብ ክፍል ሰልፍ ያድርጉ
  7. የአሁኑን ፍሬም ምን ያህል ውሂብ እንደተላከ ለመከታተል ውሂቡን ያውጡ እና አካባቢያዊ ተለዋዋጮችን ያዘምኑ።
  8. የተቀበለውን መልሶ ማግኛ ሲደርሱ (አስተላላፊው የውሂብ መልሶ ማግኘትን እውቅና ካገኘ በኋላ የተደረገው የተግባር ጥሪ) ፣ ቀጣዩን የውሂብ ክፍል ሰልፍ ያድርጉ።
  9. ጠቅላላው ፍሬም እስኪላክ ድረስ ደረጃ 7 እና 8 ን ይድገሙ።
  10. አዲስ ክፈፍ ዝግጁ መሆኑን ለማመልከት የሚቀጥለው የሰዓት ቆጣሪ መቋረጥን ለመጠበቅ ወደ ስራ ፈት ሁኔታ ይመለሱ (ወደ ደረጃ 4 ተመለስ)።

ከላይ በምስሉ ላይ እንደሚታየው የቦርድ ድጋፍ ጥቅል የ LwIP ቅንብሮችን ማቀናበሩን ያረጋግጡ። ከ tcp_snd_buf ፣ tcp_pueue_ooseq ፣ mem_size ፣ memp_n_tcp_seg በስተቀር ሁሉም እሴቶች ነባሪ ናቸው። እንዲሁም ለ debug_options ቡድን የ BSP ግቤቶችን በመቀየር ዝርዝር ማረም ሊገኝ እንደሚችል ልብ ይበሉ።

ደረጃ 6 - በኤተርኔት በኩል ለውሂብ መቀበያ የዚንክ ማቀነባበሪያ ስርዓት ያዋቅሩ

እንደ ሽቦ አልባ ተቀባዩ ሆኖ የሚሠራው የዚቦ ልማት ቦርድ ከማስተላለፊያው መሣሪያ ጋር በተመሳሳይ ይሠራል። ለ LwIP የቦርድ ድጋፍ ጥቅል ቅንጅቶች በቀደመው ደረጃ ካሉት ጋር ተመሳሳይ ይሆናሉ።

መሣሪያው ከናኖውተር የቪዲዮ ክፈፍ ክፍሎችን የያዙ እሽጎችን ይወስዳል ፣ እና የቪዲኤፍ ፍሬም ውሂቡን ለሚቀበለው ቪዲኤም በሶስት ማእቀፍ ቋት ቦታ ውስጥ ይገለብጣል። ማንኛውንም ውሂብ ከመጠን በላይ ላለመፃፍ ፣ የቀደመው ሙሉ የቪዲዮ ፍሬም ወደ ውስጥ እየተገለበጠ ሳለ የአውታረ መረብ ትራፊክ ወደ ውስጥ መግባቱን እንዲቀጥል ፣ ከናኖውተር መረጃን በሚሰበሰብበት ጊዜ ድርብ የመረጃ ቋት (እንደ አውታረ መረብ ቋት ብለን እንጠራዋለን) ጥቅም ላይ ይውላል። VDMA ቋት።

ለ WiDi መቀበያ መሣሪያ አሠራሩ ሁለት ተግባሮችን ይፈልጋል ፣ አንደኛው የኤተርኔት መረጃን ይቀበላል ፣ ሁለተኛው ደግሞ የቪዲዮ ፍሬሞችን ከአውታረ መረብ ቋት ወደ ቪዲኤምኤ ባለ ሶስት ማእቀፍ ቋት በመገልበጥ ላይ ነው።

የኢተርኔት መቀበያ ተግባር;

  1. ባዶውን የብረት LWIP የአሽከርካሪ ተግባር ጥሪዎች በመጠቀም የ TCP አውታረ መረብን ያስጀምሩ (አስተላላፊው ከሚገናኝበት የአይፒ አድራሻ ጋር ፣ በእኛ ውስጥ 192.168.0.9)
  2. ለኔትወርክ አሠራሮች አስፈላጊ የሆኑትን ማንኛውንም የመልሶ ማቋቋም ተግባሮችን ይግለጹ።
  3. የኤተርኔት ፓኬት ሲደርሰው ፣ የጥቅል መረጃን ወደ የአሁኑ የአውታረ መረብ ቋት ይቅዱ ፣ የአሁኑን የተከማቸ መረጃ ይጨምሩ።
  4. ፓኬጁ የአውታረ መረብ ፍሬም ቋት ከሞላ ፣ ወደ ደረጃዎች 5 እና 6 ይቀጥሉ ፣ ካልሆነ ፣ ከዚህ ተግባር ወደ ደረጃ 3 ይመለሱ።
  5. የ VDMA ባለሶስት ክፈፍ ቋት ተግባር ከአዲሱ ከተጠናቀቀው የአውታረ መረብ ቋት መቅዳት እንዳለበት ምልክት ያድርጉ።
  6. ወደ ሌላ የአውታረ መረብ ቋት ይቀይሩ እና በኤተርኔት በኩል መረጃ መሰብሰብዎን ይቀጥሉ።
  7. አዲስ የኤተርኔት ፓኬት እስኪቀበል ድረስ ስራ ፈት (ደረጃ 3)።

የአውታረ መረብ ቋት ወደ VDMA ባለሶስት ክፈፍ ቋት ይቅዱ

  1. የቪዲኤምኤ የመንጃ ሰዓት ቆጣሪ ሲያበቃ ወደ RX ISR ያስገቡ።
  2. በ VDMA ግራጫ ኮድ ላይ በመመርኮዝ ለመድረስ የአሁኑን የፍሬም ቋት ለመድረስ ይወስኑ።
  3. የትኛው የአውታረ መረብ ቋት ወደ VDMA ቋት እንደሚገለበጥ ይወስኑ እና ያንን ውሂብ ይቅዱ

ደረጃ 7 የዚቦቦ ቦርዶችዎን ከኤችዲኤምአይ ምንጭ እና ከኤችዲኤምአይ ሲንክ ጋር ያገናኙ

የዚቦቦ ቦርዶችዎን ከኤችዲኤምአይ ምንጭ እና ከኤችዲኤምአይ ሲንክ ጋር ያገናኙ
የዚቦቦ ቦርዶችዎን ከኤችዲኤምአይ ምንጭ እና ከኤችዲኤምአይ ሲንክ ጋር ያገናኙ

አሁን የኤችዲኤምኤ ገመዶችን ለሁለቱም ለተቀባዩ እና ለአስተላላፊው ያገናኙ ፣ የ FPGA ን ፕሮግራም ያድርጉ እና የሂደቱን ስርዓት ያሂዱ። በ LwIP አሠራር ውስጥ ባለው ከፍተኛ መጠን እና ውስን የመተላለፊያ ይዘት ምክንያት የፍሬም መጠኑ በጣም ቀርፋፋ ሊሆን ይችላል። ማንኛውም ችግሮች ካሉ በ UART በኩል ይገናኙ እና ማንኛቸውም ማስጠንቀቂያዎች ወይም ስህተቶች ለመለየት ይሞክሩ።

ደረጃ 8 - ለማሻሻል ሀሳቦች አማራጭ ሀሳቦች

የማሻሻያ አማራጭ ሀሳቦች
የማሻሻያ አማራጭ ሀሳቦች

ለዚህ ፕሮጀክት ትልቅ ጉዳይ በ wifi ላይ ለመላክ የሚያስፈልገው የውሂብ መጠን ነበር። ይህ የሚጠበቅ ነበር ፣ ሆኖም ይህ የሚያሳድረውን ተጽዕኖ አቅልለን ከቪዲዮ ምግብ ይልቅ በማያ ገጽ ላይ ብዙ ምስሎችን ፍንዳታ አስከትለናል። ይህንን ፕሮጀክት ለማሻሻል በርካታ መንገዶች አሉ

  • የእውነተኛ ጊዜ ቪዲዮ መጭመቂያ። መጪውን የቪድዮ ምግብ ፍሬም በፍሬም መጭመቅ በአውታረ መረቡ ላይ ለመላክ የሚያስፈልገውን የውሂብ መጠን በእጅጉ ይቀንሳል። በሐሳብ ደረጃ ይህ በሃርድዌር ውስጥ ይከናወናል (ይህ ቀላል ሥራ አይደለም) ፣ ወይም የጨመቁ ስልተ ቀመሮችን ለማሄድ ሌላውን የ ARM ኮር በመጠቀም በሶፍትዌር ውስጥ ሊከናወን ይችላል (ይህ የጊዜ አሠራሩን ለማረጋገጥ አንዳንድ ተጨማሪ ትንታኔዎች ያስፈልጋሉ)። በድር ላይ ያገኘናቸው አንዳንድ ክፍት ምንጭ የእውነተኛ ጊዜ ቪዲዮ መጭመቂያ ክፍሎች አሉ ፣ ግን አብዛኛዎቹ አይፒ ናቸው።
  • ከሶፍትዌር ይልቅ የኤተርኔት ዥረት በሃርድዌር ውስጥ መተግበር። በክፍል መጠኑ ላይ ባለው ውስንነት ምክንያት በአስተላላፊው ውስጥ የወጪ መረጃን ለመዘርጋት የሚያስችል ቦታ ባለመኖሩ ምክንያት ከመጠን በላይ ቶን ነበር። እጅግ በጣም ቀልጣፋ ሂደት መረጃን ወደ ውስጥ ለመመገብ AXI Ethernet IP ን ከ FIFO ቋት ወይም ከዲኤምኤ ጋር መጠቀም ነው። ይህ ከ LwIP TCP ተጨማሪ ሻንጣዎችን በመቀነስ ተጨማሪ የውሂብ ፍሰት እንዲኖር ያስችላል።

ደረጃ 9 ተደራሽነት

የዚህ የ WiDi ፕሮጀክት ውጤት አንድ ተጠቃሚ ከማንኛውም የኤችዲኤምአይ ምንጭ ጋር ሊገናኝ የሚችል እና ከዚያ የቪድዮውን ምግብ በኤችዲኤምአይ አቅም በገመድ አልባ ወደ ሚያሳይበት ሙሉ በሙሉ የተዋሃደ ፣ የታመቀ ጥንድ መሣሪያ መሆን አለበት። መሣሪያዎቹ በዚይቦ የማጣቀሻ ሰሌዳ ላይ የተገኘውን Zynq-7000 SoC ን ያሳዩ እና በ TP-Link ናኖ-ራውተሮች ውስጥ የተገኘውን የአውታረ መረብ ሃርድዌር ያጠቃልላሉ። በሐሳብ ደረጃ ፣ ተጠቃሚው ጉልህ የቴክኒካዊ ችሎታ እምብዛም ባለመፈለጉ በታለመው ኦፕሬቲንግ ሲስተም ውስጥ የማስተላለፊያ ሞጁሉን ከተለየ ቦታ መቆጣጠር ይችላል።

ደህንነት እና ግንኙነት

መሣሪያዎቹ የትራንስፖርት ንብርብር ደህንነት (TLS) ን ማካተት አለባቸው እና ለሁለቱም ለግላዊ ዓላማዎች የተገደበ የራስ-አገናኝ ችሎታ አላቸው። በስህተት ሚስጥራዊ ነገሮችን ከማሰራጨት ለመዳን በገመድ አልባ በይነገጽ ላይ ካለው ማሳያ ጋር ያለውን ግንኙነት በተጠቃሚው ሆን ተብሎ እርምጃ እንዲወስድ የዲዛይነሮቹ ዓላማ ነው።

የአሁኑ ሁኔታ

እስከዚህ ነጥብ ድረስ የፕሮጀክቱ ሁኔታ አሁንም በጣም በሂደት ላይ ያለ ሥራ ነው። የአሁኑ የማጠናቀቂያ ነጥብ ተጠቃሚ ከዚህ አጋዥ ስልጠና ተጠቃሚ እንዲሆን እሱ ወይም እሷ ስለተካተተው የስርዓት ዲዛይን ጠንካራ ቴክኒካዊ ግንዛቤ ሊኖራቸው ይገባል እና አብረው ከሚሠሩ ከፕሮግራም ሃርድዌር እና ከተካተቱ ሶፍትዌሮች ጋር የተወሰነ መተዋወቅ አለባቸው።

በአውታረ መረቡ ላይ እየተላከ ያለው መረጃ በዚህ ጊዜ አልተመሰጠረም እና የ TCP/IP እሽጎች ጥሬ ማስተላለፊያ ነው ተብሎ ይገመታል።

የቪድዮ ኮር ፕሮጀክቱ ለሁለቱም ለማስተላለፍ እና ለመቀበል በተሳካ ሁኔታ ተፈትኗል። በሌላ በኩል በሁለት የዚቦ ቦርዶች መካከል ሽቦ አልባ ግንኙነት ተቋቁሞ የሙከራ ፍሬም መረጃ በተሳካ ሁኔታ ተልኳል። ሆኖም ግን አሁንም ቢሆን የአውታረ መረብ ኮዱን ከእያንዳንዱ የቪዲዮ ዋና ፕሮጀክት ጋር ማዋሃድ እና ትክክለኛ የቪዲዮ ፍሬሞችን ማስተላለፍ መሞከር አስፈላጊ ነው።

የሚመከር: