ዝርዝር ሁኔታ:

ቀላል የግንባታ የትኩረት መደራረብ ሪግ 11 ደረጃዎች
ቀላል የግንባታ የትኩረት መደራረብ ሪግ 11 ደረጃዎች

ቪዲዮ: ቀላል የግንባታ የትኩረት መደራረብ ሪግ 11 ደረጃዎች

ቪዲዮ: ቀላል የግንባታ የትኩረት መደራረብ ሪግ 11 ደረጃዎች
ቪዲዮ: Возведение перегородок санузла из блоков. Все этапы. #4 2024, ሀምሌ
Anonim
ቀላል የግንባታ የትኩረት መደራረብ ሪግ
ቀላል የግንባታ የትኩረት መደራረብ ሪግ
ቀላል የግንባታ የትኩረት መደራረብ ሪግ
ቀላል የግንባታ የትኩረት መደራረብ ሪግ
ቀላል የግንባታ የትኩረት መደራረብ ሪግ
ቀላል የግንባታ የትኩረት መደራረብ ሪግ

እንደገና የታረመ የ 3 ዲ አታሚ ክፍሎች እና በአርዱዲኖ ላይ የተመሠረተ FastStacker ሶፍትዌር ሙሉ ተለይቶ የቀረበ የትኩረት መደራረብን ቀላል እና ርካሽ ግንባታን ያንቃል።

ሰርጊ ማሽቼንኮ (ulልሳር 124) በዊኪው (https://pulsar124.fandom.com/wiki/Fast_Stacker) ላይ በተገለጸው መሠረት DIY Arduino ላይ የተመሠረተ የትኩረት መደራረብ ባቡርን በማዘጋጀት እና በመመዝገብ ታላቅ ሥራ ሠርቷል። ብዙ ሰዎች ፕሮጀክቱን ገንብተዋል እና በዊኪው ላይ እንደታዘበው ፣ የእሱ ፕሮጀክት በሚመለከታቸው መድረኮች በሰፊው ተወያይቷል። በእሱ ዊኪ ላይ በአስተያየት ውስጥ ስመዘገብ እኔ በቅርቡ የዚህን ግንባታ ስሪት ራሴ አጠናቅቄአለሁ። አርዱዲኖ ፣ የቁልፍ ሰሌዳ ፣ የእርከን ሾፌር እና የኖኪያ 5110 ኤልሲዲ ማሳያ በመጠቀም በulልሳር 124 ንድፍ ዙሪያ ተቆጣጣሪ ሠራሁ። ጥሩ የሽያጭ ሥራ የተሳተፈ እና የድሮው ክምችት ኤልሲዲ በጣም ችግር ያለበት ነበር። መድረኮቹ ሌሎች ከኤልሲዲ ጋር ችግር እንዳለባቸው አሳይተዋል። የulልሳር 124 ፕሮጀክት ሶፍትዌር በጣም ጥሩ ነው። እሱ የበሰለ እና ሙሉ ተለይቶ የቀረበ እና እሱን የሚጠቀምበትን ስርዓት ለመገንባት ቀላል ለማድረግ ፈልጌ ነበር። እኔ አርዱዲኖ ሜጋ ፣ ራምፒኤስ 1.4 ጋሻ ፣ እና ሙሉ ግራፊክስ ዘመናዊ ተቆጣጣሪ ኤልሲዲ ፓነል ከተዛማጅ ኬብሎች ባካተተ በ 3 ዲ አታሚ መቆጣጠሪያ መድረክ ላይ እንዲሠራ ሶፍትዌሩን አስተላለፍኩ። እኔ ያንን ሶፍትዌር የስታክለር መቆጣጠሪያውን አብሮ የሚያከናውንበትን መመሪያዎችን እዚህ እሰጣለሁ። ለባቡሩ ራሱ ፣ እንደ መጀመሪያው ፕሮጀክት በንግድ ቬልቦን ባቡር ከመጀመር ይልቅ ፣ እኔ እዚህ የምመዘግብ ቀለል ያለ 3 ዲ አታሚ ላይ የተመሠረተ ባቡር ነድፌያለሁ። ማንም ሰው ካሜራውን ወይም ሌላ ማንኛውንም ነገር ካበላሸ ለዚህ ኮድ ወይም ዲዛይን ምንም ኃላፊነት አልወስድም።

አቅርቦቶች

የቁልል ተቆጣጣሪ

የሚከተሉት ክፍሎች በጣም ርካሽ በሆነ ዋጋ እንደ “3 ዲ አታሚ ኪት” ወይም “ራምፒኤስ ኪት” ይሸጣሉ ፣ ግን እርስዎ በግለሰብ ሊገዙዋቸው ወይም ጥቅም ላይ ካልዋለ 3 ዲ አታሚ ሊያቧጧቸው ይችላሉ።

  • አርዱዲኖ ሜጋ
  • ራምፒኤስ 1.4
  • 1 የእንፋሎት ሾፌር (ኪትቹ ብዙውን ጊዜ ቢያንስ 4 ይዘው ይመጣሉ)
  • ሙሉ ግራፊክስ ስማርት መቆጣጠሪያ ኤልሲዲ ማሳያ ከአገናኝ ሰሌዳ እና ከሪባን ኬብሎች ጋር። ከገዙ ፣ ለጀርባ ብርሃን ደረጃ መቆጣጠሪያ በቦርድ ፖታቲሞሜትር አንድ ይምረጡ።
  • የእርከን ሾፌርን ለማዋቀር የራስጌ መዝለያዎች
  • የ repRap ቅጥ ገደብ መቀያየሪያዎች እና ተጓዳኝ ኬብሎች

እንዲሁም ለተቆጣጣሪው ያስፈልጋል

  • 4x4 የመቀየሪያ ቁልፍ ሰሌዳ
  • የቮልቴጅ መከፋፈያ ክፍሎች

    • 150 ኪ resistor
    • 390 ኪ resistor
    • 0.1 uf capacitor
    • 2 ነጠላ ወንድ ራስጌ ካስማዎች (አማራጭ)
  • የካሜራ በይነገጽ የቅብብሎሽ ሰሌዳ ክፍሎች

    • 2 የሸምበቆ ቅብብሎች- 10 ማይል ጥቅል ፣ በአሸባሪዎች ዳዮዶች ውስጥ ተገንብቷል
    • 1/8 "ፎኖ ጃክ
    • 3 ፒን 0.1 "ራስጌ
  • 6-ሴል AA ባትሪ ጥቅል ከኒኤምኤች ከሚሞሉ ባትሪዎች ጋር ለባትሪ ኃይል ሥራ
  • ለኤሲ ሥራ በስም 9VDC የሚያቀርብ የግድግዳ ኪንታሮት አቅርቦት
  • በቁልፍ ሰሌዳው እና በ RAMPS ራስጌዎች መካከል ያለውን ግንኙነት ለማድረግ የጃምፐር ሽቦዎች ወይም ሽቦዎች/ፒኖች/አያያዥ የፒን መኖሪያ ቤቶች። 8-pin ወደ 2 X 4-pin ግንኙነት ያስፈልጋል።
  • ወደ ራምፒኤስ ራስጌ ገደቦች መቀየሪያዎችን ለማገናኘት ሽቦዎች ወይም ገመድ። በ RAMPS ኪት ውስጥ ከገደብ መቀያየሪያዎች ጋር የመጡትን ገመዶች እጠቀም ነበር ፣ ከዚህ በታች እንደተገለፀው እዘረጋለሁ።
  • ደረጃውን ከ RAMPS ራስጌ ጋር ለማገናኘት ገመድ። ከአማዞን የ 59 ኢንች የእርከን ገመድ እጠቀም ነበር።
  • ከእርስዎ የካሜራ ዓይነት ጋር የሚሰራ በእጅ ካሜራ መዝጊያ መቆጣጠሪያ ገመድ- ለሁለት ባልና ሚስት በ eBay ወይም በአማዞን ላይ ያግኙ። በእጅ የሚገፋውን የግፊት አዝራር ክፍል ይቁረጡ እና ያስወግዱ እና ለካሜራዎ የተወሰነውን ገመድ እና አገናኝ ይያዙ።

የትኩረት ባቡር

  • የቀረቡ የ STL ፋይሎችን በመጠቀም 3 ዲ የታተሙ ቁርጥራጮች- የሞተር መጨረሻ ፣ ሩቅ መጨረሻ እና ተንሸራታች።
  • የ NEMA 17 የእርከን ሞተር በ 300 ሚሜ T8 እርሳስ ማሳያ ወይም የርዝመት ምርጫዎ። የእርሳስ ጠመዝማዛ ካልተዋሃደ ፣ እርሳሱን ወደ እርሳስ ለመቀላቀል ተጓዳኝ ይጠቀሙ
  • የናስ ነት ለ እርሳስ ጠመዝማዛ - ተራ ወይም ጸደይ የተጫነ ፀረ -ጀርባ
  • 4 LM8U ተሸካሚዎች
  • 2 8 ሚሜ የብረት ዘንጎች 340 ሚ.ሜ ርዝመት ወይም መጠን ወደ እርሳሶችዎ
  • የመሠረት ሰሌዳ 100 ሚሜ x 355 ሚሜ (ወይም ተገቢው ርዝመት) እኔ የ 4 "x 14" የአሉሚኒየም ክምችት ቁራጭ ተጠቅሜ ተጠርጓል። ብዙ ሌሎች የመሠረት አማራጮች ይቻላል።
  • የመጨረሻ ቁርጥራጮችን ከመሠረቱ ጋር ለማያያዝ ብሎኖች - 1/4-20 ን እጠቀም ነበር
  • ገደብ መቀያየሪያዎችን ለማያያዝ ለውዝ/ብሎኖች - 4-40 ወይም 3 ሚሜ
  • የ RepRap ቅጥ ገደብ መቀያየሪያዎች። የ RAMPS ስብስቦች ብዙውን ጊዜ ከእነዚህ 3 ወይም 4 ጋር ይመጣሉ። መደበኛ ማይክሮሶፍትም እንዲሁ በመጨረሻው ቁርጥራጮች ላይ ከጉድጓዱ ንድፎች ጋር ጥቅም ላይ ሊውል ይችላል።
  • የሚከተለው ፣ ከካሜራ ጀምሮ ከላይ እስከ ታች ባለው ቅደም ተከተል ፣ ካሜራዎን ወደ ባቡሩ ተንሸራታች ለመጫን ያገለግላል

    • 50 ሚሜ ሁለንተናዊ ፈጣን የጫማ ሳህን ከ 1/4 ስፒል ጋር ፣ ከአርካ-ስዊዘርላንድ መስፈርት ጋር የሚስማማ (ወደ ካሜራ የሚወጣ)
    • 200 ሚሜ የኖድል ተንሸራታች የባቡር ሰሌዳ በማተኮር ለአርካ ተራራ ፈጣን የመልቀቂያ መቆንጠጫ (ከላይ ያለውን ሳህን ይቀበላል)
    • 50 ሚሜ የአርካ ስዊዝ ክላፕ ፣ ፈጣን የመልቀቂያ ሰሌዳ መያዣ ፣ ከአርካ ዘይቤ ሳህን ጋር ይጣጣማል (መስቀለኛ መንገድን ወደ ተንሸራታች ተንሸራታች)
  • የዚፕ ግንኙነቶች ፣ 4"

ደረጃ 1 ራምፒኤስ እና አርዱinoኖ

ራምፒኤስ እና አርዱinoኖ
ራምፒኤስ እና አርዱinoኖ
ራምፒኤስ እና አርዱinoኖ
ራምፒኤስ እና አርዱinoኖ
ራምፒኤስ እና አርዱinoኖ
ራምፒኤስ እና አርዱinoኖ
ራምፒኤስ እና አርዱinoኖ
ራምፒኤስ እና አርዱinoኖ

ስዕሉ ከተለመዱት የ RAMPS ስብስቦች ውስጥ አንዱን ያሳያል።

የዚህ ግንባታ ሶፍትዌር እዚህ አለ

የ FastStacker ሶፍትዌርን በሜጋ ሰሌዳ ላይ ይጫኑ። የ Faststacker ሶፍትዌሩን ወደ ቦርዱ ከማጠናቀር እና ከመስቀልዎ በፊት የ u8g2lib ግራፊክስ ቤተ -መጽሐፍትን ወደ አርዱዲኖ አከባቢዎ ለመጫን የአርዱዲኖ አይዲኢ ቤተ -መጽሐፍትን ሥራ አስኪያጅ ይጠቀሙ። የተለየ ባቡር የሚጠቀሙ ከሆነ ፣ መቀያየሪያዎችን ይገድቡ ፣ ወዘተ ፣ ለማበጀት ምክር የመጀመሪያውን የግንባታ ዊኪን ይመልከቱ።

በሥዕሉ ላይ እንደሚታየው በ ‹ራምፒኤስ› በ ‹X stepper ሞተር ሾፌር› ቦታ ላይ ሶስቱን መዝለያዎች ይጫኑ እና በዚህ ቦታ ላይ የእርከን ሞተር ነጂን ይጫኑ። ይህ ለ 16 የማይክሮፕስ አሠራር ያዋቅራል። የ RAMPS ጋሻውን ወደ አርዱዲኖ ሜጋ ይሰኩት። በእያንዳንዱ ጫፍ በአያያorsች ላይ ላሉት ስያሜዎች ትኩረት በመስጠት ኤልሲዲ በተሰጠው በይነገጽ ካርድ እና ሪባን ኬብሎች ግራፊክ ኤልሲዲውን ወደ ራምፒኤስ ያገናኙ። ይህ ተግባር በሶፍትዌር ወደብ ውስጥ እንዲደናቀፍ ይህ ኤልሲዲ የጀርባ ብርሃንን የፕሮግራም ቁጥጥርን እንደማይደግፍ ልብ ይበሉ።

በሚከተሉት ደረጃዎች ውስጥ ፣ ወደ ራምፒኤስ ቦርድ የተለያዩ ግንኙነቶች ወደ ራስጌዎች በመግባት ብዙ ግንኙነቶች ይደረጋሉ። የ RAMPS ቦርድ ሥዕላዊ መግለጫ እነዚህን ግንኙነቶች ለማጣቀሻ በኋለኞቹ ደረጃዎች ከተሰጡ ተጨማሪ ዝርዝሮች ጋር ያጠቃልላል።

ደረጃ 2: የቮልቴጅ መከፋፈያ

የቮልቴጅ መከፋፈያ
የቮልቴጅ መከፋፈያ
የቮልቴጅ መከፋፈያ
የቮልቴጅ መከፋፈያ
የቮልቴጅ መከፋፈያ
የቮልቴጅ መከፋፈያ
የቮልቴጅ መከፋፈያ
የቮልቴጅ መከፋፈያ

የቁልል መቆጣጠሪያው የባትሪ ቮልቴጅን (ወይም የግቤት የኃይል ምንጭ ምንም ቢሆን) ለመቆጣጠር ተግባርን ያካትታል። የቮልቴጅ መከፋፈያ እንደ መጀመሪያው ዲዛይን ከ 2 ሬስቶራንቶች እና 0.1uf የጩኸት ማስወገጃ capacitor ይመሰረታል። በዚህ ግንባታ ውስጥ የ voltage ልቴጅ መከፋፈያው በሌላ ጥቅም ላይ ባልዋለው የ y stepper ራስጌ ፒን ውስጥ ተጣብቋል። የሜጋ ውስጣዊው የ 2.56V ቮልቴጅ ማጣቀሻ ለመለኪያዎቹ ጥቅም ላይ ይውላል።

ሁለቱ የመከፋፈያ ተቃዋሚዎች በመጀመሪያው የፕሮጀክት ሰነድ እና ኮድ ውስጥ R3 እና R4 ተብለው ተጠርተዋል እናም እኛ እዚህ እንቀጥላለን። R3 በቀጥታ ከባትሪው (+ራስጌ ፒን 16) ጋር የተገናኘ እና R4 ከመሬት (Y አርዕስት ፒን 9) ጋር የተገናኘ እንደሆነ ፣ የመከፋፈያው ጥምርታ R4/(R3+R4) ነው። ይህ ግንባታ የስመ ግብዓት ነው የቮልቴጅ ክልል ከ 6.9 ቮ እስከ 9 ቮ. ከባትሪዎች በሚሠራበት ጊዜ 6 AA NiMH ዳግም -ተሞይ ባትሪዎችን ይጠቀማል። ከኤሲ ሲሠራ ፣ 9 ቮ ስመኛ የግድግዳ ኪንታሮት ይጠቀማል። በእነዚህ ተቃዋሚዎች 9.2V ን ወደ 2.56V እንለካለን- R4 = 150K ፣ R3 = 390K።

እንደሚታየው የቮልቴጅ መከፋፈሉን ይገንቡ። ፒኖቹ በጥብቅ አስፈላጊ አይደሉም ፣ የተቃዋሚ መሪዎችን በቀጥታ ወደ ራስጌው መሰካት ይችላሉ። ሆኖም ፣ እኔ ትንሽ መስሎኝ በነበሩት በተከላካዮቹ ላይ ያሉት እርሳሶች እና እነሱ በአስተማማኝ ሁኔታ እንዳይገቡ ፈርቼ ነበር ፣ ስለዚህ ፒኖቹን ጨመርኩ። እርግጠኛ አይደለሁም።

በ RAMPS ላይ እንደሚከተለው እና በስዕሉ ላይ እንደሚታየው መከፋፈሉን ወደ የ Y- stepper ራስጌ ይሰኩት።

ፒን 16 (ቪሲሲ)- የ 390 ኪ ተቃዋሚ ነፃ መሪ።

ፒን 9 (gnd) - የ 150 ኪ resistor ነፃ መሪ

ፒን 8 (Y stepper ያንቁ ፣ አርዱዲኖ ኤ 7)- የቮልቴጅ መከፋፈያ መታ ያድርጉ

ደረጃ 3 የቁልፍ ሰሌዳ

የቁልፍ ሰሌዳ
የቁልፍ ሰሌዳ
የቁልፍ ሰሌዳ
የቁልፍ ሰሌዳ
የቁልፍ ሰሌዳ
የቁልፍ ሰሌዳ
የቁልፍ ሰሌዳ
የቁልፍ ሰሌዳ

በተለምዶ የሚገኙ የቁልፍ ሰሌዳዎች 2 ዓይነቶች ይታያሉ። የ stacker.h ፋይል በነባሪ ከነቃ ጥቁር/ነጭ አሃድ ጋር ለሁለቱም የቁልፍ ካርታዎችን ያካትታል። ከቀይ/ሰማያዊ ሽፋን ዓይነት አንዱን የሚጠቀሙ ከሆነ በምትኩ ሌላውን ካርታ ማቃለል። የእርስዎ የተለየ ከሆነ የመጀመሪያውን የፕሮጀክት ሰነድ ይመልከቱ።

አንዳንድ ቁልፎች ካልሰሩ ፣ ግን ሙሉ ረድፍ ወይም አምድ ካልገጠሙዎት ፣ እና አንዱን ጥቁር/ነጭ አሃዶችን የሚጠቀሙ ከሆነ ፣ ለሁሉም ቁልፎች የረድፍ-ዓምድ ግንኙነቶችን ተቃውሞ ይለኩ። የጥቁር/ነጭ ዘይቤ የቁልፍ ሰሌዳዎች አንዳንድ የረድፍ-ዓምድ ግንኙነቶች ከፍተኛ የመቋቋም ችሎታ እንዲኖራቸው የሚያደርግ አንዳንድ የታተሙ የካርቦን ዱካዎች በውስጣቸው አንዳንድ የመሣሪያ ስርዓቶች ሲጠቀሙ አንዳንድ ቁልፎች ምላሽ እንዳይሰጡ ያደርጋቸዋል ፣ ለምሳሌ ፣ arduino pro mini።

የቁልፍ ሰሌዳው 8 ፒን አያያዥ አለው። ከነዚህ ፒኖች ውስጥ አራቱ በ RAMPS ላይ ከአንድ ራስጌ ጋር ይገናኛሉ ፣ ሌላኛው 4 ደግሞ ከሌላ ራስጌ ጋር ይገናኛሉ። በስዕሉ ላይ እንደሚታየው ለሁለቱም የቁልፍ ሰሌዳ ዓይነቶች 8 ፒን እስከ ባለ 4 ፒን ሪባን ኬብሎች ሠራሁ። ከቁልፍ ሰሌዳው ጋር ከሚገናኙት ፒኖች ወሲብ በስተቀር እነሱ ተመሳሳይ ናቸው። ገመዶችን ለመሥራት በፒን መኖሪያ ቤቶች እና በወንድ እና በሴት ፒንች ላይ ከሽቦ እና ከከባድ መሣሪያ ጋር እጠቀማለሁ ነገር ግን የጃምፐር ሽቦዎች ወይም ሌሎች ቅድመ-ተጣጣፊ አማራጮች ጥቅም ላይ ሊውሉ ይችላሉ። ይህ የፖሎሎ ቪዲዮ እነዚህን ዓይነት ኬብሎች ለመገንባት ብዙ የምርት አማራጮችን ያሳያል- https://www.pololu.com/category/39/cables-and-wir…. የሚታየውን ዓይነት ዝላይ ሽቦዎች ቀላል አማራጭ ናቸው።

በስዕሎቹ ላይ የቁልፍ ሰሌዳውን ከ RAMPS ጋር ለማገናኘት ገመዱን ይጠቀሙ እና እንደሚከተለው (ከዚህ በታች የተሰጠው የቁልፍ ሰሌዳ ፒን ቁጥር የቁልፍ ሰሌዳውን ፊት ሲመለከት ፒን 1 ወደ ግራ ነው ፣ 8 ን ወደ ቀኝ ይሰኩት)

የቁልፍ ሰሌዳ ፒኖች 1-4 ከ RAMPS Servos ራስጌ ጋር ይገናኛሉ ፣ በቅደም ተከተል ከተዘረዘሩት ፒኖች ፣ ከግራ ወደ ቀኝ ፣ ወደ ዳግም ማስጀመሪያ ቁልፍ ቅርብ ባለው ፒን ይጀምራል። ይህ እንደሚከተለው ይገናኛል

የቁልፍ ሰሌዳ 1- D11

የቁልፍ ሰሌዳ 2- D6

የቁልፍ ሰሌዳ 3- D5

የቁልፍ ሰሌዳ 4- D4

የቁልፍ ሰሌዳ ፒኖች 5-8 ከ RAMPS መጨረሻ ማቆሚያ ራስጌ ጋር ይገናኙ እና ግንኙነቶችን እንደሚከተለው ያድርጉ

የቁልፍ ሰሌዳ 5- Ymin- D14

የቁልፍ ሰሌዳ 6- Ymax- D15

የቁልፍ ሰሌዳ 7- Zmin - D18

የቁልፍ ሰሌዳ 8 ፣ Zmax- D19

ደረጃ 4 የካሜራ በይነገጽ

የካሜራ በይነገጽ
የካሜራ በይነገጽ
የካሜራ በይነገጽ
የካሜራ በይነገጽ
የካሜራ በይነገጽ
የካሜራ በይነገጽ

2 ሸምበቆ ቅብብል ፣ ባለ 3-ፒን ራስጌ እና 1/8 ኢንች የድምጽ መሰኪያ በ RAMPS እና በካሜራ መካከል እንደ መስተጋብር ሆኖ የሚያገለግል ትንሽ ሰሌዳ። በአሸባሪዎች ዳዮዶች ውስጥ ቅብብሎሽ እንዲጠቀሙ ሀሳብ አቀርባለሁ። ካልሆነ ፣ የራስዎን ያክሉ። (500ohm coil) ለማግበር ከ 10ma የማይበልጥን አንዱን ይምረጡ። እኔ የተጠቀምኩባቸው አንዳንድ ጎርዶስ 831A-4 ቅብብሎች ነበሩኝ ፣ ግን ለምሳሌ ፣ ዲጂኬይ ትንሹ ፊውዝ #HE721A0510 ፣ ዲጂ-ቁልፍ ክፍል ቁጥር HE101-ND ተስማሚ የሚመስል። ንድፋዊው ይታያል።

የትኞቹ ገመዶች AF ፣ መዝጊያ ፣ እና የተለመዱ መሆናቸውን ካስተዋሉ በኋላ የግፊት አዝራር መቆጣጠሪያን በማነጣጠልና በመወርወር ገመድ ከእጅ መዘጋት መቆጣጠሪያ የተሠራ ነው። ይህ ገመድ በቅብብሎሽ ሰሌዳ ላይ ወደ መሰኪያ ከሚሰካ 1/8 ኢንች የድምጽ ተሰኪ ጋር ተያይ isል።

የቅብብሎሽ ሰሌዳው እንደሚታየው በአጭሩ 3 የሽቦ servo ገመድ ከ RAMPS ጋር ይገናኛል። መደበኛውን የ servo ገመድ መጠቀም ፣ መዝለሎችን መጠቀም ወይም እራስዎ ማድረግ ይችላሉ። የካሜራ በይነገጽ ቅብብሎሽ ቦርድ በ RAMPS ቦርድ AUX-2 ራስጌ ላይ ይሰካዋል ፣ የሚከተሉትን ግንኙነቶች ያደርጋል-

Aux 2 ፣ ፒን 8- GND

Aux 2 ፣ ፒን 7- AF- D63

Aux 2 ፣ ሚስማር 6 - መዝጊያ- D40

እኔ ቦርድ መገንባት እንዳይኖርብኝ ለዚህ ተግባር የቅብብሎሽ ሞዱልን በመጠቀም ሙከራ አድርጌያለሁ ፣ ግን በተለምዶ የሚገኘው ሞዱል ከ 5 ቪ ባቡር በጣም ብዙ የአሁኑን ፍጆታ አጠፋሁ።

ደረጃ 5: Stepper ግንኙነት

Stepper ግንኙነት
Stepper ግንኙነት
Stepper ግንኙነት
Stepper ግንኙነት

የ “Stepper cable” ን ወደ “X” stepper ራስጌ ያስገቡ። በ 2 ኛ ሥዕል ላይ እንደሚታየው 59 የእርከን ማራዘሚያ ገመድ ተጠቅሜያለሁ። ደረጃው ወደ የተሳሳተ አቅጣጫ ከተዞረ ወደ ራምፒኤስ ቦርድ የተገጠመውን የ stepper አገናኝ ይለውጡት።

ደረጃ 6: መቀያየሪያዎችን ይገድቡ

መቀየሪያዎችን ይገድቡ
መቀየሪያዎችን ይገድቡ
መቀየሪያዎችን ይገድቡ
መቀየሪያዎችን ይገድቡ
መቀየሪያዎችን ይገድቡ
መቀየሪያዎችን ይገድቡ

የ FastStacker ሶፍትዌር በሁለቱ መጨረሻዎች መካከል አድልዎ አያደርግም እና የትኛው እንደተመታ ግድ የለውም። የ RAMPS stacker ሶፍትዌር በ RAMPS ላይ በ Xmin እና Xmax endstop header አቀማመጦች ውስጥ ከሚገቡት 2 መደበኛ የ repRap ገደብ መቀያየሪያዎች እና ተጓዳኝ ኬብሎቻቸው ጋር በቀጥታ መሥራት እንዲችል ተዋቅሯል። ሥዕሉ እነዚህ ተሰኪዎች የት እንዳሉ ያሳያል። በዚህ ውቅረት ውስጥ ፣ በባቡሩ ላይ ያለው እያንዳንዱ ገደብ መቀየሪያ ከ +5 ቪ ፣ ጂኤንዲ ጋር የተገናኘ ሲሆን ለእያንዳንዱ ገደብ ማብሪያ / ማጥፊያ የግለሰብ የምልክት ሽቦ ይሠራል። ሶፍትዌሩ ሁለቱንም ግብዓቶች አንድ ላይ ያዛል። ይህ ከ RAMPS ኪት ጋር የሚመጡትን ኬብሎች ቀላል መሰኪያ እና መልሶ መጠቀምን ያስችላቸዋል እና ማቆሚያዎች በሚቀሰቀሱበት ጊዜ በ repRap endstop ሰሌዳዎች ላይ ያሉት የ LED አመልካቾች እንዲበሩ ያስችላቸዋል። የሁለቱ የ repRap መቀየሪያዎች የምልክት መስመሮች ቦርዶች +5 በሚቀበሉበት ጊዜ አንድ ላይ ሊገናኙ አይችሉም ፣ እነሱ ከሆኑ ፣ አንዱ ሲቀሰቅስ ሌላኛው ደግሞ ለ GND +5 አጭር ይሆናል። ከዋናው ኬብሎች የሚታየውን የኬብል ማሰሪያ ሠርቻለሁ ፣ አንድ የኃይል ጥንድ ወደ መቀያየሪያዎቹ ልኳል ፣ ግን የእያንዳንዳቸውን የምልክት ሽቦዎች ጠብቆ ሁሉንም ገመዶች ማራዘም። ይህ አሁንም በመቆጣጠሪያው እና በባቡሩ መካከል ባለው ሩጫ 4 ሽቦዎችን ይጠቀማል።

ቀለል ያለ አቀራረብ 2 ገመዶችን ብቻ ይጠቀማል- GND እና ሁለቱንም በመደበኛ ክፍት የኦፕቶፕ ማብሪያ / ማጥፊያ መቀያየሪያዎችን የሚያንቀሳቅሱት የ Xmin ወይም Xmax endstop header ፒኖች። የ endstop መቀየሪያ ከተነሳ ፣ የምልክት መስመሩ ወደ መሬት ይጎተታል። ያነሱ ሽቦዎች ፣ ግን ማብሪያ / ማጥፊያ ሲቀሰቀስ የ LED መብራት የለም።

በባቡር ማብቂያ ቁርጥራጮች ላይ ያሉት ቀዳዳ ዘይቤዎች መደበኛ መጠን ማይክሮሶፍት (እንደ ሬፕራፕ ቦርዶች ላይ ያሉት ትናንሽ አይደሉም) በዚህ ሁኔታ የ2-ሽቦ ውቅረትን ይጠቀሙ።

ደረጃ 7 የኃይል እና የቤንች ሙከራ

የኃይል እና የቤንች ሙከራ
የኃይል እና የቤንች ሙከራ
የኃይል እና የቤንች ሙከራ
የኃይል እና የቤንች ሙከራ

ለ RAMPS የኃይል ግብዓት አያያዥ 7-9V በስም ይተግብሩ። በስዕሉ ላይ ማስታወሻ ፣ በኃይል ማያያዣው ላይ የትኞቹ ተርሚናሎች ስብስብ ጥቅም ላይ ይውላል። ይህ ራምፒኤስ ሞሶፌተሮችን የሚነዳ ከፍተኛ የኃይል ግብዓቶች ሳይሆን የ Vcc ግብዓቶች ዝቅተኛ የኃይል ስብስብ ነው። ማመጣጠን ለመጀመር ስርዓቱ መነሳት እና ማንኛውንም ቁልፍ እንዲገፉ ይነግርዎታል። ይህን ሲያደርጉ የእርምጃው መሽከርከር ይጀምራል። ለጥቂት ሰከንዶች ያህል ያድርጉት ፣ ከዚያ ከገደብ መቀያየሪያዎቹ አንዱን ያንቁ። ሞተሩ መቀልበስ አለበት። ለበርካታ 10 ሰከንዶች ያህል እንዲሠራ ይፍቀዱ ፣ ከዚያ እንደገና የገደብ መቀየሪያን ይምቱ። ሞተሩ እንደገና ወደ ኋላ ይመለሳል እና ወደ 4 ሚ.ሜ ቦታ ወደሚመስለው ይንቀሳቀሳል። በዚህ ጊዜ ቁልፎቹ በትክክል በትክክል እየተነበቡ መሆናቸውን ለማረጋገጥ የመጀመሪያውን የፕሮጀክት ሰነድ በመጥቀስ በቁልፍ ሰሌዳው ላይ ያሉትን የተለያዩ ቁልፎች አሠራር ያካሂዱ። ከዋናው ፕሮጀክት የጀርባ ብርሃን መቆጣጠሪያ ተግባር በዚህ ስርዓት ላይ እንደማይደገፍ ልብ ይበሉ- ኤልሲዲው አይደግፈውም። አንዳንድ ቁልሎችን ያሂዱ እና የሚንቀሳቀሱትን ቅብብሎች ጠቅታ ያዳምጡ እና ሁሉም ጥሩ በሚመስልበት ጊዜ በይነገጹን ለካሜራዎ ያረጋግጡ። ያ ለኤሌክትሮኒክስ መሆን አለበት።

ደረጃ 8: ባቡር

ባቡር
ባቡር
ባቡር
ባቡር
ባቡር
ባቡር

ሶስቱ 3 ዲ ህትመቶች ቀላል ህትመቶች ናቸው እና ጥሩ ንብርብሮች አያስፈልጉም- እኔ ተጠቀምኩ ።28 ሚሜ። በስዕሎቹ ውስጥ እንደሚታየው አብሮ ይሄዳል። እባክዎን ያስተውሉ በዚህ የማስተማሪያ ሥዕል ውስጥ አንዳንድ ስዕሎች የመጨረሻዎቹን ቁርጥራጮች ከላይ ወደ መጨረሻው ቁርጥራጮች ወደ ውስጥ ከማዘዋወሬ በፊት የባቡር ሐዲዱን ንድፍ ቀደም ሲል መደጋገማቸውን ያሳያሉ። ተንሸራታቹ እንደታየው የፀረ-ጀርባውን ነት ወይም መደበኛውን ነት ያስተናግዳል። በሞተር መጨረሻ ላይ ይጀምሩ ፣ ሞተሩን እና መጨረሻውን ያያይዙ ፣ ሀዲዶቹን ይጨምሩ ፣ ከዚያ ተንሸራታችውን ያንሸራትቱ እና በእጁ ላይ ያለውን ክር ለማያያዝ የእርሳስ መጥረጊያውን በእጅዎ ያሽከርክሩ። የርቀት መጨረሻውን ቁራጭ በሀዲዶቹ ላይ ይግፉት ፣ የዚፕ ማሰሪያዎችን ይጨምሩ ፣ እና የመረጡት መሠረት ከመዝጋት በስተቀር ስብሰባው በአብዛኛው ይከናወናል። ለመሠረት ብዙ አማራጮች አሉ። እኔ የተጠቀምኩት የአሉሚኒየም ሳህን ጠንካራ እና በቀላሉ ወደ ትሪፕድ ለመጫን መታ ነው። የአሉሚኒየም ማስወጣት ወይም እንጨት ሌሎች አማራጮች ናቸው።

ደረጃ 9: ማቀፊያ

ማቀፊያ
ማቀፊያ
ማቀፊያ
ማቀፊያ
ማቀፊያ
ማቀፊያ

በ 1 ኛ ሥዕል ላይ የሚታየውን ኤሌክትሮኒክስ ለማሸግ ብዙ ሊሆኑ የሚችሉ መንገዶች አሉ። ለ 3 ዲ የታተመ ስሪት ጅማሬ ሊሆን የሚችል የ RAMPS/ሜጋ/ኤልሲዲ ጥምርን ለያዙ ሳጥኖች በ Thingiverse ላይ ብዙ ዲዛይኖች አሉ። በተያያዘው የ SVG ፋይል ውስጥ ከተሰየመው ንድፍ የ acrylic ኮንሶል ዘይቤ ሳጥን ለመሥራት ሌዘርን እጠቀም ነበር። ሳጥኑ የተፈጠረው Boxes.py ን እና በ Lightburn ውስጥ የተጨመሩትን ቀዳዳ ንድፎች በመጠቀም ነው። ለ 2.8 ሚሜ ቁሳቁስ የታሰበ ነው። ከኤሌክትሮኒክስ በስተጀርባ ያለውን የባትሪ ጥቅል እንዲይዝ ሳጥኑን ነድፌ እና የኃይል ውፅዋቱን በስተጀርባ አንድ ደረጃን ይመራዋል። የታጠፈ ክዳን ባትሪው በቀላሉ እንዲወገድ ያስችለዋል። ለስርዓቱ የኃይል ግብዓት መሰኪያ እጅግ በጣም በተጣበቀበት በሳጥኑ ጀርባ ላይ ወዳለው ቀዳዳ ያመጣል። ከባትሪ ሲሮጥ ፣ የባትሪው መሪ እንደሚታየው ወደ መሰኪያው ውስጥ ተሰክቷል። ከኤሲ ሲሠራ የኤሲ አስማሚው ወደ ተመሳሳይ መሰኪያ ይሰካል። በስዕሉ ላይ እንደሚታየው ከሳጥኑ ውስጥ ሳያስወግደው የባትሪ ጥቅል ሊከፈል ይችላል።

ደረጃ 10 - ክወና

Image
Image
ክወና
ክወና

እዚህ ወደ Pulsar124 ግሩም የተጠቃሚ መመሪያ እመልስዎታለሁ- https://pulsar124.fandom.com/wiki/User_guide። እኔ እስክታውቃቸው ድረስ የቁልፍ ሰሌዳ ትዕዛዞችን ለማስታወስ እንዲረዳኝ የታሸገ የማጭበርበሪያ ወረቀት አደረግሁ። ቀደም ሲል እንደተጠቀሰው ኤልሲዲ የቁጥጥር የጀርባ ብርሃን መቆጣጠሪያን አይደግፍም ፣ ስለዚህ #-4 ትዕዛዙ አይሰራም።

ለአንዳንድ መሠረታዊ ሥራዎች በጣም ፈጣን ማሳያ ለማግኘት የተያያዘውን ቪዲዮ ይመልከቱ።

ደረጃ 11 ማስታወሻዎችን እና ሀሳቦችን ይገንቡ

ወደቡ በ FastStacker V1.16 ተጀመረ። ይህ በዋነኝነት ያ ነው ለ ‹‹P››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››››› ላይ በዋነኝነት ለ‹ mini-based based ግንባታ ›የተጠቀምኩበት ስሪት ስለሆነ ነው። ይህ የሆነበት ምክንያት በፕሮ-ሚኒ ላይ እንዲመጥን V1.17 ማግኘት ስላልቻልኩ እና ስለ 1.17 ቴሌስኮፕ ቁጥጥር አቅም ግድ ስለሌለኝ ነው። በሜጋ ላይ ፣ እኔ 1.16a የጠራሁት ይህ ስሪት ከ 20% ያነሰ ማህደረ ትውስታን ይወስዳል ፣ ስለሆነም ለ V1.17 እና ከዚያ በላይ ብዙ ቦታ አለ። የ RAMPS ወደብ የድሮውን ኤልሲዲ ነጂን በ u8g2lib ግራፊክስ ነጂ መተካት የፒን ካርታ እና መተካትን ያካትታል። አልፎ አልፎ ለተጠቃሚዎች ትንሽ ተደራሽ ለማድረግ ትልቁ ኤልሲዲ ለመለያዎች ፣ ለመልዕክቶች እና ለነባር በይነገጽ ክፍሎች የተጠቀምኩባቸውን ተጨማሪ ገጸ -ባህሪያትን የቅንጦት አቀረበ። እንደተጠቀሰው ፣ ኤልሲዲው የፕሮግራም የጀርባ ብርሃን መቆጣጠሪያን አይደግፍም ፣ ስለዚህ ያ ትዕዛዙ ተሰናክሏል። በቮልቴጅ መከታተያ አካባቢ ውስጥ አንዳንድ ለውጦችን አድርጌአለሁ ፣ የውስጣዊውን የቮልቴጅ ማጣቀሻ በመጠቀም እና ሀዲዱን ከመዝጋቱ በፊት ዝቅተኛ ቮልቴጅን ለማረጋገጥ የሚያገለግል ሌላ ወሳኝ ወሰን የቮልቴጅ ቋሚ ጨምር። እኔ እንደ መጀመሪያው ግንባታ ከ 8 ሕዋሳት ይልቅ ከ 6 ሕዋሳት ለመሮጥ ንድፉን አነጣጠርኩ። 6 ቱ ሕዋሳት የበለጠ ኃይል ቆጣቢ ናቸው ፣ አነስተኛ ቦታን ይይዛሉ እና በአካላዊ አፈፃፀም ላይ ምንም ተጽዕኖ በሌለው ሜጋ ላይ ባለው 5 ቪ ተቆጣጣሪ ላይ ውጥረትን ይቀንሳሉ። አንዱን የስህተት መልዕክቶች በሚያሳዩበት ጊዜ አጭር ድምጽ ለመስጠት በኤልሲዲው ላይ ድምፃዊውን ተጠቀምኩ። ምንም እንኳን በፀረ-ጀርባው ነት ያነሰ ነው ብዬ ብጠራጠርም ነባሪውን የኋላ መመለሻ ቁጥር በ 0.2 ሚሜ ትቼዋለሁ ፣ ግን ለመለካት አልሞከርኩም። የኋላ ምላሽ ማካካሻውን ካሰናከሉ እና በከፍታ ማእዘን ላይ የሚሰሩ ከሆነ ቦታን ጠብቀው እንዲቆዩ የኃይል ቁጠባን ያጥፉ። በሶፍትዌሩ ውስጥ አንድ የምመኘው አንድ ባህሪ የቁልፍ ሰሌዳ መቆጣጠሪያ የጀርባ ማካካሻ (የ *-1 ትዕዛዙን በመጠቀም የባቡር ሥራውን የሥራ አቅጣጫ ሳይቀይሩ) ነው። ይህ ጥቅም ላይ ያልዋለ የጀርባ ብርሃን መቆጣጠሪያ ቁልፍ ቁልፍ ላይ ካርታ ሊሆን ይችላል። በአሠራሩ አቅጣጫ ላይ በመመስረት ፣ የአሁኑ የማካካሻ አቅጣጫ ሁል ጊዜ ትክክል መሆኑን እርግጠኛ አይደለሁም ፣ ማለትም ፣ ሁል ጊዜ ከሞተር የሚንቀሳቀስ መንሸራተቻ ሁል ጊዜ ካሳ የማያስፈልገው አቅጣጫ ነው ብለው መገመት ይችላሉ። በእውነቱ ለትላልቅ ቁልል ምንም ፋይዳ የለውም ብዬ እገምታለሁ። ኮዱ ለ 16 mcrosteps ተዋቅሯል። እኔ በ stacker.h ውስጥ እንደ RAIL_LENGTH የገለጽኳቸውን ምክንያታዊ #ክፈፎች ለ 1pt ቁልፎች ለመፈተሽ ጥቅም ላይ የዋለ ቋሚ ነበር እና ለዚህ ባቡር ግምታዊ የጉዞ ክልል የሆነውን 180 ያዋቅሩት ነበር። የባቡር ሐዲድዎ የተለየ ከሆነ ይለውጡ።

ይህ መድረክ ይህ ግንባታ የማይነካውን ከማስታወስ በተጨማሪ ሌሎች ተጨማሪ ችሎታዎችን ይሰጣል። የኤልሲዲው ግራፊክስ ችሎታዎች የባትሪውን ኤስኦሲ አመልካች ከመሳል የበለጠ ጥቅም ላይ ሊውል ይችላል። የኦፕቲካል ኢንኮደር ቁልፉ ፈታኝ ነው እና ከፕሮጀክቱ ጋር ለማዋሃድ አንድ ፎቶ ወሰድኩ።ጥሩ ሾፌር አገኘሁ ፣ ከግንባታው እና ከዋናው ዑደት ጋር አዋህዶ ፣ “1” እና “ሀ” ቁልፎች ተጭነው ነበር ብለው ሶፍትዌሩን ለማጭበርበር ሞከርኩ። እሱ ይሠራል ፣ ግን ጨካኝ ነበር እና ምንም ጠቃሚ ችሎታ ስላልሰጠሁት አወጣሁት። በ RAMPS ሰሌዳ ላይ ፣ ያ የፍጆታ ሊሆን ቢችል ፣ ብዙ ደረጃ ያልደረቁ የአሽከርካሪ መንጃ ቦታዎች አሉ።

እንደ ራምፒኤስ ያሉ የ3 -ል አታሚ መቆጣጠሪያዎች እንደዚህ ላሉት ግንባታዎች በጣም ጥሩ መነሻ ነጥቦችን ይሰጣሉ እና ጥቂት ተጨማሪ ሰዎች በዚህ ቀላል መድረክ ላይ ከተስተናገደው ከ Pulsar124 አሪፍ ሶፍትዌር ተጠቃሚ ሊሆኑ እንደሚችሉ ተስፋ አደርጋለሁ።

የሚመከር: